研究者業績

渡邊 健夫

ワタナベ タケオ  (Takeo Watanabe)

基本情報

所属
兵庫県立大学 高度産業科学技術研究所 極端紫外線リソグラフィー研究開発センター 教授 (学長特別補佐(先端科学技術・異分野融合研究推進)、所長特別補佐、センター長)
学位
理学博士(1990年9月 大阪市立大学)

J-GLOBAL ID
200901004223661630
researchmap会員ID
1000214822

外部リンク

論文

 310
  • Takahiro Ueda, Marcio D. Lima, Tetsuo Harada, Takeo Watanabe, Takeshi Kondo
    Japanese Journal of Applied Physics 63(3) 2024年3月1日  
    The pellicle plays a crucial role in the EUV photolithography process and has garnered increased attention from advanced semiconductor manufacturers as they strive to advance development for smaller process nodes. Carbon nanotubes (CNTs) are highly promising for EUV pellicle applications due to their exceptional mechanical, thermal, and optical properties. It is necessary for the pellicle to be durable and robust enough to withstand extreme scanner conditions, which involve combinations of high temperatures and active hydrogen species generated by EUV light. In this study, we present test results conducted to simulate the environment of the next-generation 600 W scanner using the NewSUBARU synchrotron light source facility. Our investigation focused on evaluating the performance of CNT films, both with and without protective coating layers. Surprisingly, the results indicate that the CNT film without coating demonstrated the most promising characteristics for pellicle applications, showcasing superior performance in the demanding EUV scanner environment.
  • Rikuya Imai, Shinji Yamakawa, Tetsuo Harada, Takeo Watanabe
    Journal of Photopolymer Science and Technology 36(1) 53-59 2023年6月15日  
  • Shinji Yamakawa, Tetsuo Harada, Koji Nakanishi, Takeo Watanabe
    Journal of Photopolymer Science and Technology 36(1) 47-52 2023年6月15日  
  • Atsunori Nakamoto, Shinji Yamakawa, Tetsuo Harada, Takeo Watanabe
    Journal of Photopolymer Science and Technology 36(1) 41-45 2023年6月15日  
  • Shuhei Iguchi, Tetsuo Harada, Shinji Yamakawa, Takeo Watanabe, Takeharu Motokawa
    Journal of Photopolymer Science and Technology 36(1) 25-30 2023年6月15日  
  • Shinji Yamakawa, Tetsuo Harada, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 12750 2023年  
    Development of new EUV resists is required for next-generation EUV lithography. A resist in which a large amount of photoacid generator (PAG) is introduced into the polymer side chain has been reported as a high-resolution nonchemically amplified negative-Tone resist. In this study, we synthesized a new high-PAG loading-Type negative-Tone resist as a model resist, evaluated EUV sensitivity by flood exposure, and also performed carbon K-edge and sulfur Ledge X-ray absorption spectroscopy (XAS) analysis. The synthesized high-PAG loading bound resist had a 79 mol% PAG unit in the polymer side chain. After the EUV exposure, the resist behaved as a negative-Tone resist in the alkaline developer and a positive-Tone resist in the organic developer. From the results of the XAS analysis, the possible decomposition mechanisms of the PAG unit in the polymer were estimated.
  • Yosuke Ohta, Atsushi Sekiguchi, Shinji Yamakawa, Tetsuo Harada, Takeo Watanabe, Hiroki Yamamoto
    Journal of Photopolymer Science and Technology 35(1) 49-54 2022年12月16日  査読有り
  • Tomohito Kizu, Shinji Yamakawa, Takeo Watanabe, Seiji Yasui, Tomoyuki Shibagaki
    Journal of Photopolymer Science and Technology 35(1) 55-59 2022年12月16日  査読有り責任著者
  • Atsunori Nakamoto, Shinji Yamakawa, Tetsuo Harada, Takeo Watanabe
    Journal of Photopolymer Science and Technology 35(1) 61-65 2022年12月16日  査読有り責任著者
  • Takeo Watanabe, Tetsuo Harada, Shinji Yamakawa
    Optics InfoBase Conference Papers 2022年  
    The R&D of basic technologies such as mask, resist, optical element, optics. have been carried out at NewSUBARU synchrotron light facility since 1996. EUV Lithography started use in production from 2019 for 7 nm node logic devices and from 2020 for 5 nm node logic devices. Current status and prospect of EUV and usage probability of BEUV will be reported. And the necessity of BEUV-FEL will be discussed.
  • A. Sekiguchi, Y. Ohta, T. Harada, T. Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 12292 2022年  
    Our previous studies focused on ways to measure simulation parameters for EUV resists, including development parameters, Dill's C parameter, the diffusion length of PAG-derived acids, and parameters for deprotection reactions. Through EUV resist simulations based on these parameters, we examined conditions for reducing LER and improving resolution. This paper presents the results of our investigations of methods for determining the refractive index n and extinction coefficient k of photoresists for EUV light (wavelength 13.5 nm), parameters generally considered difficult to measure, and for calculating an absorption parameter known as the Dill's B parameter. We investigated three types of photoresists: polymer decomposition type resist, chemically amplified resist, and EUV metal resist.
  • Takeo Watanabe, Tetsuo Harada, Shinji Yamakawa
    RadTech Asia 2022 S4-01 2022年  査読有り筆頭著者
  • Kensuke Murashima, Yuki Kawashima, Shuhei Ozaki, Atsushi Tatami, Masamitsu Tachibana, Takeo Watanabe, Tetsuo Harada, Mutsuaki Murakami
    CARBON 181 348-357 2021年8月  査読有り責任著者
    Using a newly developed edge-support heat treatment method of polyimide, self-standing graphite thin films (GTFs) with a frame were prepared. The graphite basal plane in the GTF was oriented in the direction of the film surface, resulting in GTFs with high quality, large area, and a uniform thickness of 50-120 nm. The thickness distribution (3s) with an area of 25 mm x 25 mm and a thickness of 54 nm sample was 5.17 nm (measurement area 7.8 mm x 10.4 mm). The electric conductivity of a similarly prepared sample was 1.81 x 10(4) S/cm. The Young's modulus and ultimate tensile strength of a 60-nm-thick GTF were 1.02 x 10(2) and 5.34 GPa, respectively. Approximately 50 nm thick GTF samples were thinned by reactive ion etching using oxygen to fabricate a thickness between 10 and 20 nm. A film with an area of 10 mm x 10 mm and thickness of 16.7 nm exhibited a 3 sigma value of 1.80 nm which means that the thickness difference corresponds to 5 layers of graphite. The conductivity of a 16.2-nm-thick GTF was 1.79 x 10(4) S/cm. These results indicate that the etching proceeded uniformly. The proposed top-down method is an industrially superior method that overcomes the drawbacks of conventional bottom-up methods. (C) 2021 Elsevier Ltd. All rights reserved.
  • Tetsuo Harada, Shinji Yamakawa, Mitsunori Toyoda, Takeo Watanabe
    Japanese Journal of Applied Physics 60(8) 087005-087005 2021年8月1日  査読有り責任著者
    Extreme ultraviolet (EUV) lithography has recently been utilized as a high-volume manufacturing technology for advanced semiconductors. An EUV mirror can be easily contaminated in the existence of a residual hydrocarbon vapor gas inside an exposure chamber in a vacuum environment, which reduces the reflectance of the Mo/Si multilayer coating. To reduce this carbon contamination, hydrogen gas is introduced at a pressure of a few pascals in the EUV scanner. However, during this process, the multilayer may be damaged by hydrogen. In addition, the multilayer surface can become oxidized by residual water vapor in the vacuum chamber. Therefore, an EUV irradiation tool in hydrogen and water vapor atmospheres was developed and installed at BL-09 of the NewSUBARU synchrotron light facility to evaluate the cleaning effect and irradiation durability of the Mo/Si multilayer. The EUV irradiation intensity increased up to 6 W cm-2, and the hydrogen pressure reached 70 Pa.
  • Hiroya Shike, Rihito Kuroda, Ryota Kobayashi, Maasa Murata, Yasuyuki Fujihara, Manabu Suzuki, Shoma Harada, Taku Shibaguchi, Naoya Kuriyama, Takaki Hatsui, Jun Miyawaki, Tetsuo Harada, Yuichi Yamasaki, Takeo Watanabe, Yoshihisa Harada, Shigetoshi Sugawa
    IEEE TRANSACTIONS ON ELECTRON DEVICES 68(4) 2056-2063 2021年4月  査読有り責任著者
    This article presents a prototype 22.4 mu m pixel pitch global shutter (GS) wide dynamic range (WDR) soft X-ray CMOS image sensor (sxCMOS). Backside-illuminated (BSI) pinned photodiodes with a 45-mu m thick Si substrate were introduced for low noise and high radiation hardness to high energy photons. Two-stage lateral overflow integration capacitor (LOFIC) and voltage domain memory bankwith high-densitySi trench capacitorswere introduced for WDR and for GS. The developed sxCMOS achieved maximum 21.9 Me- full well capacity with a single exposure 129 dB dynamic range by GS operation. Over 70% quantum efficiency (QE) toward soft X-ray was successfully achieved. The developed prototype sxCMOS is a step forward toward a 4 M pixel detector system to be utilized in next-generation synchrotron radiation facilities and X-ray free-electron lasers.
  • Takeo Watanabe, Tetsuo Harada
    Proceedings of 5th EUV-FEL Workshop 2021, Jan. 22, 2021 2021年  招待有り
  • Takuto Fujii, Shinji Yamakawa, Tetsuo Harada, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 11908 2021年  査読有り責任著者
    In 2019, EUV lithography technology with a wavelength of 13.5 nm was used for the mass production of semiconductor logic devices with 7 nm node. As with small feature size of electronic circuits in semiconductor device will be required in the future, beyond EUV (BEUV) lithography with exposure wavelength around 6.7 nm is a candidate for the next generation lithography. In BEUV, the developments of high-reflective multilayers, high-sensitive resists, and high-power light sources are critical issues. Thus, we have developed BEUV evaluation tools in NewSUBARU synchrotron light facility. Accurate BEUV reflectometry is significant for the development of high-reflective BEUV multilayer. For the accurate reflectometry, higher-diffraction-order generated from a monochromator should be suppressed. At the BL10 beamline at NewSUBARU, the components of second and third-diffraction-order light are 7% mixed into the BEUV measurement light. Mo transmission filter with a 200-nm-thick was previously used to suppress the higher-order light to 1/10, which was insufficient for target accuracy of 0.1%. We have developed a high-order-light cutting unit consisting of two mirrors with TiO2 coating, which suppressed the high-order light to 1/100.
  • Tetsuo Harada, Ayato Ohgata, Shinji Yamakawa, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 11908 2021年  査読有り責任著者
    At EUV lithography, an EUV mirror can be easily contaminated with carbon. This carbon contamination causes the reflectance drop of the Mo/Si multilayer mirror. For the carbon-contamination cleaning, hydrogen gas is introduced at a pressure of a few Pascal in the EUV exposure tool. However, during this process, the hydrogen damage would be caused on a Mo/Si multilayer, which would decrease EUV reflectance of the multilayer. The cleaning rate and damage threshold of hydrogen pressure and EUV dose were not well known. Therefore, an EUV irradiation tool in hydrogen atmosphere is developed and installed at the BL09 beamline of the NewSUBARU synchrotron light facility to evaluate the irradiation durability and cleaning effect of the Mo/Si multilayer under these conditions. The EUV-irradiation intensity was up to 6 W/cm2, and hydrogen pressure was up to 70 Pa. The contamination was occurred at the low-hydrogen-pressure conditions from 0 to 5 Pa. The contamination was not occurred at the high-hydrogen-pressure condition of 20 and 68 Pa. In addition, the sample with many particles on the surface was damaged by the EUV-induced plasma.
  • T. Watanabe, T. Harada, S. Yamakawa
    Proceedings of SPIE - The International Society for Optical Engineering 11908 2021年  査読有り招待有り筆頭著者
    Since 2019, EUV lithography has started to be used for the mass production of 7-nm-node-logic devices. However, many significant issues on EUV lithography still remain in the fabrication of future devices. The technical issues are the development technologies of resist, mask, and EUV light source. Therefore, many significant fundamental researches have been carried out at our facility. Here the EUV mask technologies is highlighted. It is described the fundamental research activities on EUV lithography at NewSUBARU synchrotron light facility, which is related with EUV mask technologies.
  • Akira Heya, Tetsuo Harada, Masahito Niibe, Koji Sumitomo, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 11908 2021年  査読有り責任著者
    An extreme ultraviolet (EUV) light with a wavelength of 13.5 nm has been introduced to 7 nm FinFET technology. Optical elements such as Mo/Si multilayer mirror in lithography equipment are contaminated with hydrocarbon during the EUV light irradiation. The reflectance of the mirrors is decreased by carbon contamination. Therefore, the removal method of the carbon contamination is required for reduction of maintenance cost. The surface treatment using atomic hydrogen generated by a heated tungsten mesh, called as atomic hydrogen annealing (AHA), have been investigated for cleaning of the optical elements used in the synchrotron facility. The Au/Cr/Si substrate, Ni mirror and Ni diffraction grating with carbon contamination were cleaned and the reflectance of the mirrors was recovered by AHA. In addition, the AHA conditions could be optimized for cleaning of Mo/Si multilayer mirrors from the relationship between the treatment conditions and degradation. Furthermore, to clarify the reaction of atomic hydrogen with not only C-C bond but also C-O bond, the graphene oxide (GO) film was also treated by AHA. The C-O-C bonds in the GO films were preferentially reduced by AHA. It is found that the surface contamination consisting of hydrocarbon and/or C-O bond on the optical elements is removed without damage. The ability of atomic hydrogen to clean the optical elements had been confirmed. The findings are useful for the advanced lithography technology using EUV light.
  • Shinji Yamakawa, Ako Yamamoto, Seiji Yasui, Takeo Watanabe, Tetsuo Harada
    Journal of Photopolymer Science and Technology 34(1) 111-115 2021年  査読有り責任著者
    In extreme ultraviolet (EUV) lithography development, the reduction of line width roughness (LWR) is a one of the significant issues. It has been reported that the LWR of photoacid generator (PAG) bounded resist is lower than that of PAG blended resist. It is considered that the chemical composition distribution of PAG bounded resist is more uniform than PAG blended resist. However, it has not been evaluated systematically and experimentally. In this study, we introduced the contact angle measurement method for the evaluation of the chemical composition distribution between PAG blended resist and PAG bounded resist. It is clarified that the resist thin film has a different chemical composition distribution from the center to the outside of wafer regardless of the type of resists. In particular, the chemical composition distribution of the bounded resist showed the opposite behavior to that the blended one.
  • Yosuke Ohta, Atsushi Sekiguchi, Tetsuo Harada, Takeo Watanabe
    Journal of Photopolymer Science and Technology 34(1) 105-110 2021年  
    Up to now, we have been researching methods for measuring the simulation parameters of EUV resist. These parameters include the development parameter, the Dill C parameter, the diffusion length of acid generated from PAG, and the deprotection reaction parameter. By using these parameters, we have attempted to simulate EUV resist. As a result, we could investigate the conditions for reducing LER and for enhancing resolution. We hereby report on the methods of calculating the refractive index n and k values of photoresist with EUV light (13.5 nm), which has been difficult to measure until now, and the Dill B parameter, which is an absorption parameter. The three types of photoresists we investigated are the main chain scission type resist, chemically amplified resist, and metal resist.
  • Takeo Watanabe, Tetsuo Harada, Shinji Yamakawa
    Journal of Photopolymer Science and Technology 34(1) 49-53 2021年  査読有り筆頭著者
    Extreme ultraviolet lithography was started to use for the production of 7-nm node-logic-semiconductor devices in 2019. And it was adapted to use for high volume manufacturing (HVM) of 5-nm logic devices in 2020. EUVL is required to be extended to use in 1.5-nm-node-device fabrications. However, it still has many technical issues. Especially, for EUV resists, simultaneous achievement of high sensitivity and low line edge width are required. To solve the EUV resist issue, the fundamental work using synchrotron in soft X-ray region is necessary. The fundamental evaluation study of EUV resist at NewSUBARU synchrotron light facility is described in this paper.
  • Hiroyuki Maekawa, Hiroto Kudo, Takeo Watanabe, Hiroki Yamamoto, Kazumasa Okamoto, Takahiro Kozawa
    Journal of Photopolymer Science and Technology 33(1) 45-51 2020年7月1日  査読有り責任著者
    We examined the synthesis, physical properties, and resist properties of the various polymers and an oligomer containing fixed hole derived from calixarenes. By the condensation reaction of p-t-butylcalix[n]arene (n = 4 and 8) with 1,4-dichloro-2-oxabutane (DCB) and 2,5-dibromoacetyloxy-2,5-dimethylhexane (DBH), the soluble polymers poly(BCA[8]-co-DCB), poly(BCA[8]-co-DBH), and poly(BCA[4]-co-DCB), and an oligomer BCA[4]-DBH were obtained. They have good physical properties (solubility, film-forming ability, high thermal stability), excellent thickness loss property, and good acid-deprotection reactivity upon ultra-violet (UV) irradiation. The resist-sensitivity in an extreme ultraviolet (EUV) exposure tool indicated that poly(BCA[8]-co-DBH) and BCA[4]-DBH were good candidate to offer higher resolution resist pattern, i.e., E0 = 5.0 mJ/cm2 [poly(BCA[8]-co-DBH] and 0.8 mJ/cm2 (BCA[4]-DBH).
  • Takeo Watanabe, Tetsuo Harada
    Proceedings of High-brightness Sources and Light-driven Interactions Congress, OSA, Nov. 16-20, 2020 2020年  査読有り招待有り
  • H. Shike, R. Kuroda, R. Kobayashi, M. Murata, Y. Fujihara, M. Suzuki, T. Shibaguchi, N. Kuriyama, J. Miyawaki, T. Harada, Y. Yamasaki, T. Watanabe, Y. Harada, S. Sugawa
    IEEE IEDM 2020 16(4) 339-342 2020年  査読有り
  • Takeharu Motokawa, MacHiko Suenaga, Kazuki Hagihara, Noriko Iida Nee Sakurai, Ryu Komatsu, Hideaki Sakurai, Shingo Kanamitsu, Keisuke Tsuda, Tetsuo Harada, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 11518 2020年  査読有り責任著者
    © COPYRIGHT SPIE. Downloading of the abstract is permitted for personal use only. An essential element of sub-15 nm nanoimprint lithography is to create fine patterns on a template. However, it is challenging to create sub-15 nm half-pitch patterns on a template by direct drawing with a resist, owing to poor resolution and low sensitivity. We are currently researching the development of sub-15 nm half-pitch patterns by applying self-aligned double patterning on a template. The defect density of the template has not yet reached a high-volume manufacturing level. The aim of our study is to achieve a defect density of less than 1 pcs/cm2 for sub-15 nm templates. To achieve this, we need to overcome stochastics-induced resist defects. We aim to determine the mechanism of defect formation by observing the details of the defects. We challenged resist-pattern inspections using a grazing-incidence coherent scatterometry microscope, which illuminated an extreme ultraviolet light to the resist pattern and detected the diffraction signal from the pattern. This study was conducted in collaboration with University of Hyogo and Kioxia Corporation. In this paper, we present the results of damage evaluations and resist-pattern inspections.
  • Tetsuo Harada, Nobukazu Teranishi, Takeo Watanabe, Quan Zhou, Jan Bogaerts, Xinyang Wang
    Applied Physics Express 13(1) 2020年1月1日  査読有り責任著者
    © 2019 The Japan Society of Applied Physics. We develop a high-quantum-efficiency, high-exposure-durability backside-illuminated CMOS image sensor for soft-X-ray detection. The backside fabrication process is optimized to reduce the dead-layer thickness, and the Si-layer thickness is increased to 9.5 μm to reduce radiation damage. Our sensor demonstrates a high quantum efficiency of >90% in the photon-energy range of 80-1000 eV. Further, its EUV-regime efficiency is ∼100% because the dead-layer thickness is only 5 nm. The readout noise is as low as 2.5 e- rms and the frame rate as high as 48 fps, which makes the device practical for general soft X-ray experiments.
  • Akira Heya, Tetsuo Harada, Masahito Niibe, Koji Sumitomo, Takeo Watanabe
    Journal of Photopolymer Science and Technology 33(4) 419-426 2020年  査読有り
    © 2020 SPST. The surface treatment using atomic hydrogen genareted by a heated tungsten mesh was investigated for the cleaning of the optical elements used in the synchrotron facility. We call the surface-tretament by the atomic hydrogen annealing (AHA). The Au and Ni mirors and Ni and Mo diffraction gratings with carbon contamination were cleaned by the chemical reaction and thermal effect due to the recombination of the atomic hydrogens during AHA. The carbon contamination was removed and the reflactance of the Au and Ni mirrors was recovered by AHA. The AHA conditions could be optimaized for cleaning of Mo/Si multilayer mirrors from the relationship between the treatment condition and degradation. In addition, to clarify the removal reaction of the carbon contamination, the two-types of amorphous carbon (a-C) films were used. The etching rate of the a-C film by AHA was strongly related to the hydrogen content, atomic density and sp2/sp3 component of a-C film.
  • Jun Tanaka, Takuma Ishiguro, Tetsuo Harada, Takeo Watanabe
    Journal of Photopolymer Science and Technology 33(5) 491-498 2020年  査読有り責任著者
    © 2020SPST. EUV lithography is started to use in high volume manufacturing of 7-nm node semiconductor devices for smart phones. However, a resist development is still remained significant critical issue in EUV lithography. The EUV resist has to be achieved high resolution, high sensitivity, and low line-width roughness (LWR), simultaneously. Especially, the resist is required low LWR performance for a fine patterning. To reduce LWR, it is significant to control and reduce the stochastic behavior of the resist chemical contents such as the functional groups, photo reactive compound (photoacid generators:PAG), additives such as amines and so on. However, there is no method to measure the chemical contents spatial distribution. Therefore, the resonant soft X-ray scattering (RSoXS) method is applied to evaluate the chemical contents spatial distribution. Around the carbon absorption edge, the resonant absorption peaks are specific to the chemical contents of carbon. In RSoXS method, the soft X-ray scattering intensity from the resist is recorded by a CCD camera, which the incident photon energy irradiate to the resist sample is varied around the carbon absorption K-edge of 284 eV. If these chemical contents have large dispersion, the stochastic becomes worth. In this way, the chemical contents spatial distribution in photoresists is measured by RSoXS method, and this method is significant for development of low LWR resist.
  • Tetsuo Harada, Nobukazu Teranishi, Takeo Watanabe, Quan Zhou, Xiao Yang, Jan Bogaerts, Xinyang Wang
    Applied Physics Express 12(8) 082012 2019年8月1日  査読有り責任著者
    © 2019 The Japan Society of Applied Physics. We recently developed a backside-illuminated CMOS image sensor for soft X-ray detection based on a commercial CMOS sensor. This sensor demonstrated a high quantum efficiency, low readout noise of 2.6 e- rms, and high frame rate of 48 fps. This sensor also showed an energy resolution of 80 eV to 1,000 eV photon. We demonstrated fluorescence detection from highly-oriented graphite and a polymethyl methacrylate film using a simple setup, where the samples faced a CMOS sensor without a monochromator. This energy-resolving performance and high frame rate will facilitate new applications for soft X-ray and EUV experiments.
  • Masahito Niibe, Tetsuo Harada, Akira Heya, Takeo Watanabe, Naoto Matsuo
    AIP Conference Proceedings 2054 2019年1月15日  査読有り
    © 2019 Author(s). In synchrotron radiation (SR) optical devices coated with oxidation-prone metal, such as Ni and Cr, the UV-O3 ashing method cannot be used for removal of the contaminated carbon film deposited during use in the beamline. We have demonstrated that surface treatment technology using atomic hydrogen is effective as a new contaminated carbon film removal technology. Hydrogen gas was flowed into contact with a tungsten mesh (catalyst) heated to 1700°C to obtain atomic hydrogen. By flowing this atomic hydrogen on the surface of the SR mirror, deposited contaminants could be almost completely removed. The reflectance of the mirror recovered from about 14% to about 70% at the C-K absorption region. The reflectance also increased by about 20% at a photon energy greater than 300 eV because of the removal of carbon film and the reduction of native oxide at the mirror surface.
  • Takeo Watanabe, Jun Tanaka, Tetsuo Harada
    Proccedings of ALC'19 2019年  
  • Mana Yoshifuji, Shota Niihara, Tetsuo Harada, Takeo Watanabe
    Japanese Journal of Applied Physics 58 SDDA02 2019年  査読有り責任著者
    We have developed an EUV interference lithographic exposure tool to evaluate a resist. The target patterning size of this system is 10 nm and below in half pitch. Transmission grating fabrication is a key technology in EUV interference lithography. To replicate a 10-nm-line-and-space (L/S) resist pattern on a wafer, a 20-nm-L/S pattern is required for the transmission grating. The pitch size of the interference fringes is half the size of the absorber pattern of the transmission grating. For the chemically amplified positive tone resist process, instead of alkali development, organic development can be adopted to convert to a negative-tone chemical amplification resist process, and pattern collapse can be suppressed. In addition to this process, a dry development rinse (DDR) process is used. The DDR process with dry development successfully prevents pattern collapse at a high aspect pattern because there is no surface tension in the dry development of the DDR process. The EUV diffraction efficiencies of the fabricated gratings were measured to be 14%, 11% and 4% for 40, 30 and 20-nm-L/S pattern grating, respectively. Using these gratings, 20, 15 and 10 nm resist pattern might be replicated on a resist. And the diffraction efficiency was sufficient for interferometric exposure using synchrotron radiation.
  • Jun Tanaka, Takuma Ishiguro, Tetsuo Harada, Takeo Watanabe
    Journal of Photopolymer Science and Technology 32(2) 327-331 2019年  査読有り責任著者
    © 2019 SPST. The resist development is a critical issue in EUV lithography for high volume manufacturing of semiconductor devices. Especially, the resist should have low line-width roughness (LWR) performance in fine patterning. To reduce the LWR, it is significant to control and reduce the stochastic behavior in the resist material. We employed the resonant soft X-ray scattering (RSoXS) method to evaluate the non-uniformity of the resist material components such as base polymer, functional group, and photo-acid generator and so on. In RSoXS method, the soft X-ray scattering intensity from the resist is recorded by a CCD camera, which the incident photon energy irradiated to the resist sample was varied around the carbon absorption K-edge of 284 eV. Around the carbon absorption edge, the absorption spectrum had resonant absorption peaks that depended on the chemical bonding structure of carbon. The scattering signal profile of a commercial chemical-amplified resist was different at each absorption peaks, which indicated non-uniformity of resist material. Thus, the non-uniformity of the resist material was measured by RSoXS method, which was important for development of low LWR resist.
  • Takuma Ishiguro, Jun Tanaka, Tetsuo Harada, Takeo Watanabe
    Journal of Photopolymer Science and Technology 32(2) 333-337 2019年  査読有り
    © 2019 SPST. In the advanced lithography, the pattern collapse is significant issue. Since the resist pattern collapse origin to the surface force of the rinse solvent such as ultra-pure deionized water, pattern strip and pattern collapse occur easily when the resist aspect ratio exceeds two. The pattern strip and pattern collapse occur near or at the bottom layer and of a resist inside the resist film, respectively. Thus, the layer analysis inside the resist is significant. The layer separation analysis inside the resist film is very difficult by the X-ray reflectivity method because the layer separation contrast is very small using hard X-ray. Therefore, the resonant soft X-ray reflectivity (RSoXR) method was utilized for the layer separation of the resist film. A commercial chemical-amplifier resist was employed as a sample to in this study. Around carbon absorption edge region of 284 eV, optical index will depend on chemical-bonding structure of the resist strongly. The separated-layer structure was clearly analyzed at 287.1 eV. The resist had 5 nm and 6 nm separated layer at the top and the bottom position.
  • Keisuke Tsuda, Tetsuo Harada, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 11148 111481N 2019年  査読有り
    © 2019 SPIE. We develop the monochromator and reflectometer to evaluate optical properties of an EUV optics and EUV resist at the BL3 beamline in NewSUBARU synchrotron light facility. This system supports from the EUV to out-of-band (OoB) energy region which corresponds at the wavelength region from 10 to 300 nm. This monochromator design is collimatedplane- grating monochromator with the constant-line-spaced grating of 1,000 lines/mm. The deviation angles are 150° for EUV region and 120° for the OoB region. The absorption edges of Si, Al and Mg filters are clearly observed using this system. The beam size on a sample position is 0.6(H) × 0.3(V) mm2. We measured the EUV and OoB reflectance of a Mo/Si multilayer, an absorber TaN on the multilayer, and glass substrate which is used as a substrate of the black border on an EUV mask. The OoB reflectance of glass substrate was over 20%, which would affect to the EUV imaging performance in an EUV exposure tool. In addition, the OoB reflectance of the Mo/Si multilayer was quite different from that in calculation. Thus, it is important for evaluate the actual OoB reflectance of an EUV optics.
  • Takeo Watanabe, Tetsuo Harada
    Synchrotron Radiation News 32(4) 28-35 2019年  
  • Masahito Niibe, Tetsuo Harada, Akira Heya, Takeo Watanabe, Naoto Matsuo
    13TH INTERNATIONAL CONFERENCE ON SYNCHROTRON RADIATION INSTRUMENTATION (SRI2018) 2054 060010 2019年  査読有り
    In synchrotron radiation (SR) optical devices coated with oxidation-prone metal, such as Niand Cr, the UV-03 ashing method cannot be used for removal of the contaminated carbon film deposited during use in the beamlme. We have demonstrated that surface treatment technology using atomic hydrogen is effective as a new contaminated carbon film removal technology. Hydrogen gas was flowed into contact with a tungsten mesh (catalyst) heated to 1700 C to obtain atomic hydrogen. By flowing this atomic hydrogen on the surface of the SR mirror, deposited contaminants could be almost completely removed. The reflectance of the mirror recovered from about 14% to about 70% at the C-K absorption region. The reflectance also increased by about 20% at a photon energy greater than 300 eV because of the removal of carbon film and the reduction of native oxide at the mirror surface.
  • Keisuke Tsuda, Tetsuo Harada, Takeo Watanabe
    PHOTOMASK TECHNOLOGY 2019 11148 111481N 2019年  査読有り責任著者
    We develop the monochromator and reflectometer to evaluate optical properties of an EUV optics and EUV resist at the BL3 beamline in NewSUBARU synchrotron light facility. This system supports from the EUV to out-of-band (OoB) energy region which corresponds at the wavelength region from 10 to 300 nm. This monochromator design is collimated-plane-grating monochromator with the constant-line-spaced grating of 1,000 lines/mm. The deviation angles are 150 degrees for EUV region and 120 degrees for the OoB region. The absorption edges of Si, Al and Mg filters are clearly observed using this system. The beam size on a sample position is 0.6(H) x 0.3(V) mm(2).We measured the EUV and OoB reflectance of a Mo/Si multilayer, an absorber TaN on the multilayer, and glass substrate which is used as a substrate of the black border on an EUV mask. The OoB reflectance of glass substrate was over 20%, which would affect to the EUV imaging performance in an EUV exposure tool. In addition, the OoB reflectance of the Mo/Si multilayer was quite different from that in calculation. Thus, it is important for evaluate the actual OoB reflectance of an EUV optics.
  • Hiroto Kudo, Mari Fukunaga, Teppei Yamada, Shinji Yamakawa, Takeo Watanabe, Hiroki Yamamoto, Kazumasa Okamoto, Takahiro Kozawa
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 32(6) 805-810 2019年  査読有り
    We examined the synthesis and resist properties of tellurium-containing molecular resist materials. By the condensation reaction of anisol, phenol, and 2-phenylphenol with tellurium tetrachloride (TeCl4), dichloro di(4-hydroxyphenyl) telluride (CHPT), dichloro di(4-hydroxy-3-phenylbenz) telluride (CHBT), di(4-hydroxyphenyl) telluride (HPT), and di(4-hydoxy-3-phenylbenz) telluride (HBT) were synthesized. These were reacted with 2-methyl-2-adamantyl bromo acetate, yielding corresponding compounds CHPT-AD, CHBT-AD, HPT-AD, and HBT-AD, respectively. By the examination of resist properties (thickness loss property, resist sensitivity, and etching durability), CHBT-AD could be good candidate for higher resolution EUV resist material.
  • Hiroto Kudo, Mari Fukunaga, Kohei Shiotsuki, Hiroya Takeda, Hiroki Yamamoto, Takahiro Kozawa, Takeo Watanabe
    REACTIVE & FUNCTIONAL POLYMERS 131 361-367 2018年10月  査読有り
    We synthesized various hyperbranched polyacetals poly(t-BCRA[4]-co-BVOC), poly(t-BCRA[4]-co-BVOP), poly(t-BCRA[4]-co-BVOXP), poly(t-BCRA[4]-co-BVBC), and poly(t-BCRA[4]-co-TVCH) by the polyaddition of C-(4-t-butylbenz)calix[4]resorcinarene (t-BCRA [4]) with 1,4-bis(4-vinyloxy)cyclohexane (BVOC), 1,3-bis(vinyloxy) propane (BVOP), 1,5-bis(vinyloxy)-3-oxapentane (BVOXP), 4,4'-bis(vinyloxy)-1,1'-bicyclohexane (BVBC), and 1,3,5-tris(vinyloxy)cyclohexane (TVCH), respectively. The resist properties (resist sensitivities, outgassing on EUV exposure, thickness loss after soaking in aq. TMAH, and etching durability) were consistent with their structures. Overall, poly(t-BCRA[4]-co-BVOC) has a high potential as a next-generation resist material.
  • Tetsuo Harada, Hiraku Hashimoto, Takeo Watanabe
    Electronics and Communications in Japan 101(3) 11-16 2018年3月1日  査読有り
    For evaluation of defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a microcoherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 140-nm focus diameter on the defect using a Fresnel zoneplate. This system directly observes the reflection and diffraction signals from a phase defect. The phase and the intensity image of the defect is reconstructed with the diffraction images using ptychography, which is an algorithm of the coherent diffraction imaging. We observed programmed phase defect on a blank EUV mask. Phase distributions of these programmed defects were well reconstructed quantitatively. We also observed actual defects which were inspected by the ABI tool. Actual amplitude defect and phase defect images were reconstructed with intensity and phase contrast. The reconstructed image indicated the defect type of these defect. The micro-CSM is very powerful tool to evaluate an EUV phase defect.
  • Seiji Takahashi, Hiroko Minami, Yoko Matsumoto, Yoichi Minami, Mikio Kadoi, Atsushi Sekiguchi, Takeo Watanabe
    Journal of Photopolymer Science and Technology 31(2) 257-260 2018年  
    In this study, we prepared the EUV metal resist, which included ZrO2 nano-particle and three type ligands as 2-methyl-2-propenoic acid (MAA), 2-methylpropanoic acid (IBA) and vinylbenzoic acid (VBA). Each resist was prepared by blending each material separately for evaluating the outgassing from EUV resist materials. We prepared three-type samples of ZrO2-MAA, ZrO2-IBA and ZrO2-VBA by coating each resist, and evaluated the outgassing from these samples during irradiation of 2 keV electron by in-situ mass spectrometry. From the results of mass spectrum, we could observe the outgassing of PAG as unique peaks. And each ligand peak was distributed over the low mass range. On the other hand, the ZrO2 peaks could not be observe in mass spectrum of each sample. Thus, we guess that ZrO2 nano-particles might not be evaporating during 2 keV electron irradiation.
  • Shota Niihara, Tetsuo Harada, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 10809 2018年  査読有り
    Copyright © 2018 SPIE. The resist thickness of EUV lithography is thin less than 50 nm. Since the most photon is not absorbed by the resist, the EUV chemical reaction is not occurred sufficiently. In order to increase chemical reaction, a resist should have a highabsorption material compounds for the EUV photons. Many high-absorbing material has been studied such as hafnium, zinc, tin oxide, and tellurium. Resist performance improvements are expected in sensitivity and reduction of line-edgeroughness. For the development of the high-absorbing resist materials, it is significant to measure the EUV absorption coefficient accurately. For measurement of absorption, it is necessary to measure both transmittance and thickness of resist accurately. We have developed an absorption-coefficient-measurement method of the EUV resist at BL-10 beamline of NewSUBARU synchrotron light facility. The resist is coated on a detector photodiode directly, and EUV transmittance and thickness are measured. We measured an absorption coefficient of PMMA resist, which has very simple chemical composition and ZEP520A resist which is copolymer. The transmittance uniformity on the photodiode was less than 0.6%. This result indicates that the resist sample was coated with good uniformity on the surface of the photodiode. The result of PMMA well corresponded to the calculated value. Thus, it is practicable to measure the absorption coefficient accurately.
  • Tetsuo Harada, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 10809 2018年  
    Copyright © 2018 SPIE. We have developed the reflectometer to evaluate EUV mirrors and masks at BL-10 beamline of NewSUBARU synchrotron light facility. This reflectometer usually measures s-polarized reflectance. Reflectance of an EUV mirror is strongly depends on polarization state of incident light, if angle of incidence to the sample is not normal. The center radiation from a bending source of a synchrotron source is almost horizontally polarized. However, there was several percent of vertical polarized component at the center radiation on NewSUBARU. For accurate reflectometry, a horizontal-polarization control unit (PCU) has been developed to remove the unnecessary vertically-polarized EUV component. This unit consisted of two reflection polarizer mirrors coated with aperiodic Mo/Si broadband multilayer, which multilayer was coated in our laboratory. Using this unit, degree of horizontal polarization was improved to be 1.00. In addition, vertical-PCU has been developed for p-polarized reflectance measurement. There is vertical polarized light component on off-axis radiation from the bending source. This vertical-PCU also generated the fully-verticalpolarized light. As the results, the reflectometer measures accurate s- and p-polarized reflectance without setup change of the sample stage and the detector stage.
  • Tetsuo Harada, Takeo Watanabe
    INTERNATIONAL CONFERENCE ON EXTREME ULTRAVIOLET LITHOGRAPHY 2018 10809 2018年  
    We have developed the reflectometer to evaluate EUV mirrors and masks at BL-10 beamline of NewSUBARU synchrotron light facility. This reflectometer usually measures s-polarized reflectance. Reflectance of an EUV mirror is strongly depends on polarization state of incident light, if angle of incidence to the sample is not normal. The center radiation from a bending source of a synchrotron source is almost horizontally polarized. However, there was several percent of vertical polarized component at the center radiation on NewSUBARU. For accurate reflectometry, a horizontal-polarization control unit (PCU) has been developed to remove the unnecessary vertically-polarized EUV component. This unit consisted of two reflection polarizer mirrors coated with aperiodic Mo/Si broadband multilayer, which multilayer was coated in our laboratory. Using this unit, degree of horizontal polarization was improved to be 1.00. In addition, vertical-PCU has been developed for p-polarized reflectance measurement. There is vertical polarized light component on off-axis radiation from the bending source. This vertical-PCU also generated the fully-vertical-polarized light. As the results, the reflectometer measures accurate s- and p-polarized reflectance without setup change of the sample stage and the detector stage.
  • Shota Niihara, Tetsuo Harada, Takeo Watanabe
    INTERNATIONAL CONFERENCE ON EXTREME ULTRAVIOLET LITHOGRAPHY 2018 10809 2018年  
    The resist thickness of EUV lithography is thin less than 50 nm. Since the most photon is not absorbed by the resist, the EUV chemical reaction is not occurred sufficiently. In order to increase chemical reaction, a resist should have a high-absorption material compounds for the EUV photons. Many high-absorbing material has been studied such as hafnium, zinc, tin oxide, and tellurium. Resist performance improvements are expected in sensitivity and reduction of line-edge-roughness. For the development of the high-absorbing resist materials, it is significant to measure the EUV absorption coefficient accurately. For measurement of absorption, it is necessary to measure both transmittance and thickness of resist accurately. We have developed an absorption-coefficient-measurement method of the EUV resist at BL-10 beamline of NewSUBARU synchrotron light facility. The resist is coated on a detector photodiode directly, and EUV transmittance and thickness are measured. We measured an absorption coefficient of PMMA resist, which has very simple chemical composition and ZEP520A resist which is copolymer. The transmittance uniformity on the photodiode was less than 0.6%. This result indicates that the resist sample was coated with good uniformity on the surface of the photodiode. The result of PMMA well corresponded to the calculated value. Thus, it is practicable to measure the absorption coefficient accurately.
  • Atsushi Sekiguchi, Yoko Matsumoto, Mariko Isono, Michiya Naito, Yoshiyuki Utsumi, Tetsuo Harada, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 10583 2018年  査読有り
    To improve EUV resist sensitivity, studies have sought to enhance EUV light absorption by adding metals characterized by high EUV light absorption to the resist polymer. This approach is intended to increase secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency. As reported in our previous report, to determine whether adding metals characterized by high EUV light absorption actually enhances sensitivity, we performed transmittance measurements and sensitivity evaluations of resist samples doped with ZrO2 or TeO2 nanoparticles, which have low and high EUV light absorption, respectively, in molar quantities of 0-2 relative to PAG. The samples were subjected to EUV exposure at the NewSUBARU synchrotron radiation facility. The results of transmittance measurements and sensitivity evaluations showed that, while the ZrO2-doped resist showed no changes in absorption or sensitivity, the TeO2-doped resist showed enhancement in both properties. Based on these results, we confirmed that adding metals characterized by high EUV light absorption to the EUV resist enhances its EUV light absorption and increases secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency. In the efforts discussed in the present report, we examined whether adding metals directly to PAG could further enhance sensitivity by increasing the EUV light absorption of PAG itself, thereby efficiently heightening the effect of the secondary electron emission on PAG.
  • Seiji Takahashi, Hiroko Minami, Yoko Matsumoto, Yoichi Minami, Mikio Kadoi, Atsushi Sekiguchi, Takeo Watanabe
    J. Photopolym. Sci. Technol. 31(2) 257-260 2018年  査読有り

MISC

 194

書籍等出版物

 27

講演・口頭発表等

 882

所属学協会

 11

Works(作品等)

 3

共同研究・競争的資金等の研究課題

 11

メディア報道

 46