研究者業績

渡邊 健夫

ワタナベ タケオ  (Takeo Watanabe)

基本情報

所属
兵庫県立大学 高度産業科学技術研究所 極端紫外線リソグラフィー研究開発センター 教授 (学長特別補佐(先端科学技術・異分野融合研究推進)、所長特別補佐、センター長)
学位
理学博士(1990年9月 大阪市立大学)

J-GLOBAL ID
200901004223661630
researchmap会員ID
1000214822

外部リンク

論文

 310
  • Ferdinand Peper, Takeo Watanabe, Teijiro Isokawa, Nobuyuki Matsui
    2014 IEEE INTERNATIONAL NANOELECTRONICS CONFERENCE (INEC) 1-3 2014年  査読有り
    Computing-in-Memory has recently attracted increasing interest because of the expected limitations to be faced by the traditional von Neumann architecture under further extensions of Moore's law. Cellular architectures are especially well positioned as candidates in this context. The most well-known among these are Cellular Automata (CA), which are computing devices with a regular structure of cells that are locally interconnected to each other. Their regularity facilitates manufacturing methods, like Interference Lithography (lL), that can produce high-density patterns of extreme regularity. When this technology is combined with bottom-up methods based on self-assembly, architectures become possible with extremely high numbers of identical cells that can be configured to conduct a wide variety of functions. This paper gives a focused introduction to cellular designs over the last 50 years and discusses their suitability for nanoelectronic implementations.
  • Kazuya Emura, Takeo Watanabe, Masato Yamaguchi, Hirohito Tanino, Tsubasa Fukui, Daiju Shiono, Yuichi Haruyama, Yasuji Muramatsu, Katsumi Ohmori, Kazufumi Sato, Tetsuo Harada, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 27(5) 631-638 2014年  査読有り
    Simultaneous achievement of high sensitivity and low line edge roughness (LWR) is necessary in EUV resist. The chemical reaction analysis of EUV (Extreme Ultraviolet) chemical amplified (CA) resist and acid diffusion length evaluation was carried out. In order to achieve low LWR of the CA resist, the large chemical structure of the anion of photoacid generator (PAG) is required for shortening the acid diffusion length. On the other hand, in order to increase the sensitivity, on the basis of the chemical reaction analysis using the soft x-ray absorption spectroscopy, the decomposition reaction of the large chemical structure of the PAG anion should be taken in account in addition of ionization reaction. However, if the decomposition reaction occur, the acid diffusion length will become shorter than that as expected. It is found that the Imidate-type of anion of PAG has high sensitivity and short diffusion length. The chemical reaction analysis by the soft x-ray absorption spectroscopy using the synchrotron radiation with the combination analysis of the acid diffusion are useful method for the mitigation of high sensitivity and low LWR.
  • Atsushi Sekiguchi, Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 27(5) 623-629 2014年  査読有り
    Semiconductor microfabrication technologies for the 22-nm generation require high-performance resists with superb exposure characteristics. Specifically, this means resolution, exposure sensitivity, and edge roughness values not exceeding 18 nm, 10 mJ/cm(2), and 2 rim (3 sigma), respectively. Resist exposure characteristics must be evaluated using actual exposure spectra. Since six-mirror exposure optics now represents the mainstream, we installed a resist evaluation system([1]) capable of obtaining reflectance spectra of these exposure optics at the BL3 beamline in the NewSUBARU([2]) synchrotron radiation facility and performed resist evaluations. The system allows evaluations of various parameters for lithography simulations, including exposure sensitivity,([3]) acid diffusion length,([4]) light desorption characteristics,([5]) Dill's ABC parameters,([6]) and quenching rate parameters.([7]) Using the top coat method, we examined the diffusion behavior of the acid generated by the PAG. This paper reports the results of our study.
  • Yukiko Kikuchi, Kazuhiro Katayama, Isamu Takagi, Norihiko Sugie, Toshiya Takahashi, Eishi Shiobara, Hiroyuki Tanaka, Soichi Inoue, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY V 9048 90482W 2014年  査読有り
    The carbon contamination growth (CG) on the witness samples by resist outgassing during exposure were evaluated for the model EUV resist samples having different protecting groups for chemical amplification. Four kinds of different protecting groups were chosen to compare the effects of difference in activation energy for de-protection, the molecular size and polarity of de-protected unit on CG. The residual gas analysis (RGA) measurements were also performed for all samples. Those results were compared between EUV irradiation and e-beam irradiation. On the contrary to the original expectation, it was found that the dependence of the activation energy on CG was small. From the results of RGA, it was confirmed that the size of the protecting group does not also simply correlate with the outgassing amount or CG. In the sample with relatively bigger protecting group we found larger outgassing amount than that with smaller protecting group. The smallest outgassing amount and CG were given by the sample which has the polar de-protecting unit. It is indicating that if there is the interaction between the outgassing molecules and the resist film components, the escaping of the molecules from the resist film out to the vacuum is restricted, resulting in the small outgassing and small CG. All of those features were same in EUV and e-beam irradiation.
  • Eishi Shiobara, Toshiya Takahashi, Norihiko Sugie, Yukiko Kikuchi, Isamu Takagi, Kazuhiro Katayama, Hiroyuki Tanaka, Soichi Inoue, Takeo Watanabe, Tetsuro Harada, Hiroo Kinoshita
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY V 9048 904819 2014年  査読有り
    The suppression of outgassing from extreme ultraviolet (EUV) resist needs to be addressed for realizing EUV lithography (EUVL) because outgassing is likely the main contributor to the contamination of mirror optics in EUV scanners, which results in reflectivity loss. Resist outgassing causes two types of contamination: cleanable contamination, involving hydrocarbon contaminants, and noncleanable contamination, involving noncarbon components. The relation of cleanable contamination between EUV- and electron beam (EB)-based evaluations is linear. However, the relation of noncleanable contamination is not clear. In this study, we investigated the contribution of EUV resist components to noncleanable contamination using different photoacid generator components. The cleanability of noncleanable elements (sulfur, iodine, chlorine, and bromine) in contamination films was measured and compared for the EUV- and EB-based outgas testers. The result suggested that the chlorine and bromine contaminants were completely removed after cleaning. On the other hand, sulfur and iodine remained even after cleaning. This suggested that the careful use of iodine in resist materials is necessary due to its high photoabsorption and low cleanability. In addition, the cleaning rate and noncleanability of contaminants in the EUV- based test were larger than in the EB-based test. This suggests that the contamination film in the EUV- based test is more porous than that in the EB-based test.
  • T. Amano, T. Terasawa, H. Watanabe, M. Toyoda, T. Harada, T. Watanabe, H. Kinosihta
    Proc. SPIE 9048 904827 2014年  査読有り
  • Tsuneo Terasawa, Tsuyoshi Amano, Takeshi Yamane, Hidehiro Watanabe, Mitsunori Toyoda, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY V 9048 904825 2014年  査読有り
    The effect of phase defect on extreme ultraviolet (EUV) lithography was examined using an EUV microscope. A test mask containing periodic absorber line patterns and programmed pit phase defects embedded in a multilayer-coated mask blank was prepared, and the mask patterns were observed by the EUV microscope developed by Tohoku University and constructed at the site of a beam line of the New SUBARU of the University of Hyogo. The half pitches of the absorber patterns were 64 nm and 44 nm at mask which corresponded to 16 nm and 11 nm device generations. The programmed defects included not only square-shape defects but also rectangular-shape defects with different orientations. When a phase defect was located between two adjacent absorber patterns, then the observation image intensity of the absorber lines and spaces (L/S) patterns varied, and the impact of a phase defect was predicted as an intensity variation of bright space image. Phase defect location dependency and defect shape dependency of the observation image intensity were examined. The effectiveness of the EUV microscope to predict the phase defect impacts was confirmed.
  • Tetsuo Harada, Yusuke Tanaka, Tsuyoshi Amano, Youichi Usui, Takeo Watanabe, Hiroo Kinoshita
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY V 9048 90483F 2014年  査読有り
    To evaluate defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a micro coherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 230-nm focus diameter on the defect using a Fresnel zoneplate. This system directly observes the reflection and scattering signals from a phase defect. The scattering distributions of 30-nm-wide defects were anisotropic due to interference with speckle from multilayer scattering. Thus, printability of the defects would depend on the defect position in the multilayer.
  • 工藤統吾, 小林和生, 小野峻, 寺西信一, 渡邊健夫, 木下博雄, 沖原将生, 初井宇記
    応用物理学会分科会シリコンテクノロジー 170 24-29 2014年  査読有り
  • Tetsuo Harada, Yusuke Tanaka, Takeo Watanabe, Hiroo Kinoshita, Youichi Usui, Tsuyoshi Amano
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 31(6) 06F605 2013年11月  査読有り
    Defect-free mask production is a critical issue in extreme-ultraviolet (EUV) lithography. On EUV masks, phase defects are buried by multilayer coating, which is a serious EUV-specific issue. These defects should be hidden or be compensated completely by the absorber pattern for the production of defect-free masks. A phase image of the phase defects at the EUV lithography exposure wavelength is essential to characterize the defects. For characterization of phase defects, the authors have developed the microcoherent EUV scatterometry microscope (micro-CSM). This system is lensless and is based on a coherent diffraction imaging method, which records diffraction images. The intensity and phase images of the defects are reconstructed through iterative calculations. The micro-CSM system has focusing optics of a Fresnel zone plate to observe small defect. The detection size limits of the phase defects were a width of 25 nm and a depth of 1.4 nm. Diffraction images from an asymmetric phase defect were related well to the defect shapes and volumes. The defect position was also inspected by mapping image that was measured using by step-and-repeat observation. The actinic defect signals were observed by the micro-CSM system. (C) 2013 American Vacuum Society.
  • Tetsuo Harada, Masato Nakasuji, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 52(6) 06GB02 2013年6月  査読有り
    Phase-shifting masks were developed for extreme ultraviolet (EUV) lithography to enlarge the process window, and some researchers developed mask phase defect compensation methods adopting absorber pattern modification. To evaluate these small phase structures, a phase-imaging microscope is required. For actinic phase imaging, we have developed a coherent EUV scatterometry microscope (CSM) based on a coherent diffraction imaging method. The image-forming optics are replaced by an inverse computation, where the frequency space phase data are retrieved. Therefore, the aerial image phase data are also reconstructed. The CSM thus observes the intensity and phase image. We improved the reconstruction algorithm by which the illumination probe was simultaneously reconstructed; phase images of a crossed line pattern, an 88 nm line-and-space pattern, and a phase defect were reconstructed quantitatively. The CSM will be helpful for phase-shift mask development and phase defect compensation. (c) 2013 The Japan Society of Applied Physics
  • Hiroo Kinoshita, Takeo Watanabe, Tetsuo Harada, Yutaka Nagata
    JAPANESE JOURNAL OF APPLIED PHYSICS 52(6) 06GA01 2013年6月  査読有り
    Since the first presentation of extreme ultraviolet lithography (EUVL) was given in the Annual Meeting of the Japan Society of Applied Physics in 1986, a quarter of a century has passed. Currently, this technology is in the manufacturing developmental stage. The high-volume manufacturing of direct random access memory (DRAM) with a line width of 20 nm is expected in 2014. The remaining critical issues of development are a stand-alone source with higher power and a mask inspection tool for zero defects. The Center for EUVL in the University of Hyogo was established in 2010. This center is now available for utilizing some equipment, such as an EUV mask defect inspection tool, an interference lithography system, a device for the thickness measurement of the carbon contamination film deposited by resist outgassing, and reflectivity measurement systems. (c) 2013 The Japan Society of Applied Physics
  • Takeo Watanabe, Yukiko Kikuchi, Toshiya Takahashi, Kazuhiro Katayama, Isamu Takagi, Norihiko Sugie, Hiroyuki Tanaka, Eishi Shiobara, Soichi Inoue, Tetsuo Harada, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 52(5) 056701 2013年5月  査読有り
    Extreme ultraviolet (EUV) lithographic exposure is performed in a vacuum environment. The Mo/Si multilayers used as imaging optics and a mask can be contaminated by carbon, which is originally an outgassing species of the hydrocarbons that decompose from the resist materials during EUV exposure. This carbon contamination reduces the total reflectivity of the imaging optics and mask, and affects the lithographic performance, including the throughput and resist pattern replication resolution. This contamination should be reduced to maintain the lithographic performance; thus, the relationship between the carbon contamination and the outgassing species should be clarified. We therefore developed a novel tool for in situ contamination layer thickness evaluation. The distinctive features of this tool are as follows: 1) exposure using a total power of in-band EUV light (267 mW/cm(2)) that is as high as that required for high-volume manufacturing (> 100 mW/cm(2)), 2) the visible light beam of the in situ spectroscopic ellipsometry is focused on the Mo/Si multilayer witness sample to obtain the detection limit for measured thickness and that the limit was found to be 0.03 nm, and 3) the witness and 8-in. resist wafer sample stages have extremely low outgassing characteristics. Using this tool, a starting adhesion point was observed and the carbon contamination layer thickness was evaluated during the resist exposure. For 90 min resist exposure, no contamination adhered onto the Mo/Si witness sample until the exposure time reached 25 min, and after which, the contamination started to adhere to the witness sample. (c) 2013 The Japan Society of Applied Physics
  • Tsuyoshi Amano, Susumu Iida, Ryoichi Hirano, Tsuneo Terasawa, Hidehiro Watanabe, Kenjiro Yamasoe, Mitsunori Toyoda, Akifumi Tokimasa, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    APPLIED PHYSICS EXPRESS 6(4) 046501 2013年4月  査読有り
    A local etching technique using electron beams has been proposed to repair defects on an absorber pattern. In this paper, the effect of pattern contrast over a thin absorber film left on a multilayer was examined. Various residual absorber films on the multilayer were fabricated and examined using an extreme ultraviolet (EUV) microscope employed as an at-wavelength mask pattern inspection tool. As a result, the contrast decreased by 30% in the remaining thickness of 2.9 nm for half-pitch 225 nm features. The EUV microscope proved to be an effective tool for examining the post repair effects. (C) 2013 The Japan Society of Applied Physics
  • Takeo Watanabe, Kazuya Emura, Yuichi Haruyama, Tetsuo Harada, Yasuji Muramatsu, Hiroo Kinosita, Daiju Shiono, Katsumi Ohmori, Kazufumi Sato
    Micro/Nano Lithography, SPIE Newsroom 2-4 2013年4月  査読有り
  • Yutaka Nagata, Tetsuo Harada, Takeo Watanabe, Katsumi Midorikawa, Hiroo Kinoshita
    IEEJ Transactions on Fundamentals and Materials 133(10) 3-518 2013年  査読有り
    The detection and evaluation of printable defects in extreme ultraviolet lithography (EUV) masks are one of the most critical issues for high-volume manufacturing of next generation semiconductor. The coherent EUV scatterometry microscope is a strong candidate for high-precision inspection of defects. We have developed the high-order harmonics generation system to generate coherent EUV light using the commercial table-top laser system. The low beam divergence was measured to be 0.18 mrad for 13.5 nm (59th) high-order harmonics. The spatially coherent, 59th harmonics was improved the contrast ratio of diffraction images. Defect signals were observed from the 2-nm width line-defect in the 88-nm line-and-space (L/S) pattern and the 54-nm defect in the 360 nm pitch pattern using coherent scatterometry microscope equipped with high-order harmonics generation system as a practical coherent EUV light source. © 2013 The Institute of Electrical Engineers of Japan.
  • Yukiko Kikuchi, Eishi Shiobara, Hiroyuki Tanaka, Isamu Takagi, Kazuhiro Katayama, Norihiko Sugie, Toshiya Takahashi, Soichi Inoue, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita
    Proceedings of SPIE - The International Society for Optical Engineering 8679 2013年  査読有り
    In the experiments to evaluate outgassing of EUV resists, it was found that the amount of PAG anion and/or Fluorine observed on the witness sample (WS) was different for the variety of WS location and direction in the testing chamber. The XPS measurements showed there were about 10 times of Fluorine atoms on the WS which were put to face the exposing position of the resist on the wafer compared to that were put to turn the back on the wafer. As the Fluorine is the component of photo-acid-generator (PAG) of resists used in the experiments, it is thought that the PAG anion and/or its decomposed species have high sticking coefficient to the WS. The simulation was performed to understand the directivity of outgassing from the exposing point, using the Direct Simulation Monte Carlo (DSMC) method. The results indicated that the sticking coefficient of PAG anion is about 0.8, suggesting that the position and direction of WS in the chamber relative to the wafer strongly affects the amounts of deposition of the species having big sticking coefficients. © 2013 SPIE.
  • Hiroo Kinoshita, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe, Katsumi Midorikawa
    2013 CONFERENCE ON LASERS AND ELECTRO-OPTICS (CLEO) 2013年  査読有り
    A coherent scatterometry microscope using a high-order harmonic light from a femtosecond laser has been developed. Using this system, measurement of CD values and defects inspection such as missing patterns and bridge defects were demonstrated. © OSA 2013.
  • Tetsuo Harada, Masato Nakasuji, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita
    PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY XX 8701 2013年  査読有り
    In extreme ultraviolet (EUV) lithography, controlling the reflection phase of the mask pattern is important for enlarging the process window and for compensating for phase defects. And, there are shadowing effect owing to the oblique illumination which modifies reflection phase of absorber patterns. A phase imaging microscope is required to determine this actinic phase distribution. To this end, we have developed a coherent EUV scatterometry microscope (CSM) based on coherent diffraction imaging (CDI). The CSM consists of a coherent EUV source and a charge-coupled device (CCD) camera, which records the diffraction images from the mask pattern directly. The system is lensless and makes use of the inverse computations based on the intensity of the scattered radiation, instead of the image-forming optics, to retrieve the frequency-space phase data. This allows the aerial-image phase data to also be reconstructed. Using the CSM system, one can obtain the intensity and phase images of the sample pattern. In this study, we also reconstructed the phase images of line-and-space patterns that were free of the shadowing effect as well as of patterns in which shadowing occurred. In the case of the latter, shadowing could be observed clearly in the phase image. Finally, the phase image of a programmed phase defect was also reconstructed and its phase value evaluated quantitatively. Thus, the CSM system is powerful tool for developing phase-controlled masks.
  • 永田 豊, 原田哲男, 渡邊健夫, 緑川克美, 木下博雄
    電気学会論文誌A(基礎・材料・共通部門誌) 133(10) 509-518 2013年  査読有り
  • Tsuyoshi Amano, Tsuneo Terasawa, Hidehiro Watanabe, Mitsunori Toyoda, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    PHOTOMASK TECHNOLOGY 2013 8880 888021 2013年  査読有り
    To predict the effect of a phase defect position relative to the absorber pattern on a wafer printed image, a programmed phase defect mask was fabricated, and was observed using an extreme ultraviolet (EUV) microscope employing EUV light from a beam line BL3 of the New SUBARU at the University of Hyogo. The mask prepared for this work contains programmed phase defects along with half-pitch (hp) 64 nm lines-and-spaces (L/S) absorber patterns. The phase defects were located at different locations in reference to the absorber lines. A lithography simulator predicted that when the distance between the line center and defect center range from 26 to 102 nm, the prepared 1.8 nm-high and 57.4 nm-wide phase defects would cause errors of more than 10 % in wafer printed critical dimension (CD). The EUV microscope could identify these phase defects with the EUV light intensity losses of more than 17 % in comparison to the space pattern image intensity in the absence of the phase defect. The EUV microscope can predict the existence of the phase defect, and its impact on a wafer printed CD even where the EUV microscope does not completely emulate the image of the EUV scanner.
  • Isamu Takagi, Toshiya Takahashi, Norihiko Sugie, Kazuhiro Katayama, Yukiko Kikuchi, Eishi Shiobara, Hiroyuki Tanaka, Soichi Inoue, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 26(5) 673-678 2013年  査読有り
    Witness-sample (WS) testing is the most favorable method for the simulation of EUV optics contamination by resist outgassing. Resists are expected to be correctly qualified with this method before they are used in HVM exposure tools. However, the present capacity of outgassing test facilities is insufficient for the total anticipated needs, based on the current capacity of existing EUV exposure tools. This paper defines a resist family for reducing the total number of required outgassing tests. The material contributions to outgassing are discussed on the basis of results obtained with model resists, where two types of WS test systems high-power EUV light and EB sources are used. A correlation between these light sources is also discussed.
  • Takeo Watanabe, Kazuya Emura, Daiju Shiono, Yuichi Haruyama, Yasuji Muramatsu, Katsumi Ohmori, Kazufumi Sato, Tetsuo Harada, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 26(5) 635-641 2013年  査読有り
    The chemical reaction in EUV irradiation of the several photoacid generators (PAGs) which employed triphenylsulfonium (TPS) salts as the cation of PAG, is discussed on the basis of the analysis using the SR absorption spectroscopy in the soft x-ray region. The fluorine atoms of the anion PAGs which have the chemical structure of the imidate type such as TPS-Imidate-1, and TPS-Imidate-2 strongly decomposed under EUV exposure. In the case of these FAG type, it is found that in addition to the ionization reaction, the anion decomposition reaction originated by the photo excitation of the photoacid generator might occur under EUV exposures. Thus the sensitivity seemed to be high comparison to tri-phenylsulfonium perfluorobutanesulfonate (TPS-Nonaflate) under EUV exposure. In the case of tri-phenylsulfonium camphorsulfonate (TPS-Cs), the anion which does not contain fluorine seemed to be very stable under EUV exposure and the sensitivity is lower than TPS-Nonaflate.
  • Mitsunori Toyoda, Kenjiro Yamasoe, Tadashi Hatano, Mihiro Yanagihara, Akifumi Tokimasa, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    APPLIED PHYSICS EXPRESS 5(11) 112501 2012年11月  査読有り
    Motivated by the need for at-wavelength observation of extreme ultraviolet (EUV) lithography masks, we developed a full-field EUV microscope that has a multilayer-mirror objective. This objective is based on an innovative optical design that gives a magnification of over x1400, enabling us to use a conventional charge-coupled device (CCD) camera as the detector. In addition, when the objective is corrected for off-axis aberrations, it has a large field of view of a few hundred micrometers, permitting rapid inspection of a whole mask. We demonstrate this novel design by presenting at-wavelength images of a mask. (C) 2012 The Japan Society of Applied Physics
  • Masato Nakasuji, Akifumi Tokimasa, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe, Katsumi Midorikawa, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 51(6) 06FB09 2012年6月  査読有り
    In extreme-ultraviolet (EUV) lithography, defect-free mask production is one of the critical issues for the high-volume manufacturing of semiconductor devices. We developed a coherent EUV scatterometry microscope (CSM), which is a simple lensless system. The CSM records diffraction from mask patterns with a charge-coupled-device (CCD) camera directly, which is illuminated with a coherent EUV light. Since a practical standalone system is required by the industry, we developed a standalone CSM system employing a high-order harmonic generation (HHG) EUV source. The 59th high-order harmonic generation of 13.5 nm wavelength is pumped by a tabletop, 6 mJ, 32 fs, Ti:sapphire laser system. The EUV output energy of 1 mu W is successfully achieved. We performed the observation of an EUV mask using the HHG-CSM system. The detection limit of the line defect size is improved to 2 nm for the high output power of the HHG EUV source. (C) 2012 The Japan Society of Applied Physics
  • Tetsuo Harada, Masato Nakasuji, Akifumi Tokimasa, Takeo Watanabe, Youichi Usui, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 51(6) 06FB08 2012年6月  査読有り
    On extreme-ultraviolet (EUV) masks, phase structures such as bumps or pits on the substrate or particles buried in the multilayers can form printable defects. Information on the properties of these defects is required for mask repair by defect hiding and compensation methods using the absorber pattern. We have developed a coherent EUV scatterometry microscope (CSM) to observe EUV masks, which uses a simple lensless system to record a diffraction image from mask patterns. We introduce preliminary observation results for programmed phase defects. We evaluated the defect width and height from the diffraction images, and the detection limit of the CSM system reached a width of 220 nm. To characterize small defects, we proposed a micro-CSM system that focused to the illumination onto the defect with a 100 nm diameter. The diffraction image recorded by the micro-CSM system provides raw defect data, which is essential for defect compensation. (C) 2012 The Japan Society of Applied Physics
  • Toshiya Takahashi, Norihiko Sugie, Kazuhiro Katayama, Isamu Takagi, Yukiko Kikuchi, Eishi Shiobara, Hiroyuki Tanaka, Soichi Inoue, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY III 8322 2012年  査読有り
    For high volume manufacturing (HVM) utilizing extreme ultraviolet (EUV) lithography, practical resist outgassing qualification system is required. Witness sample (WS) testing systems using electron beam (EB) or low power EUV light have been proposed as candidates, however some issues remain on how these alternative light sources, in comparison to high power EUV, will affect resist chemical reactions and ultimately resist outgassing. In this paper, we have investigated resist induced optics contamination by utilizing two types of WS test systems of high power EUV light and EB sources. A correlation between these light sources is discussed, especially focusing on the resulting chemical phenomena depending on resist material properties.
  • Tetsuo Harada, Masato Nakasuji, Takeo Watanabe, Yutaka Nagata, Hiroo Kinoshita
    PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY XIX 8441 2012年  査読有り
    For extreme ultraviolet (EUV) mask evaluation, we have developed the coherent EUV scatterometry microscope (CSM), which is equipped with a laboratory coherent EUV source for high-harmonic generation (HHG) and acts as a standalone EUV tool. The CSM records the diffraction from mask patterns directly with a charge-coupled-device (CCD) camera, which was illuminated with the coherent EUV light. The pattern image and the critical dimension values are evaluated by using the diffraction image with iterative calculations based on coherent diffraction imaging. The 59th high-order harmonic generation at a wavelength of 13.5 nm was pumped by a tabletop 6 mJ, 32 fs, Ti:sapphire laser system. EUV output energy of 1 mu W was successfully achieved. We observed the EUV mask using the HHG-CSM system. A very small 2 nm-wide line defect was successfully detected while located in an 88 nm line-and-space pattern.
  • Norihiko Sugie, Toshiya Takahashi, Kazuhiro Katayama, Isamu Takagi, Yukiko Kikuchi, Eishi Shiobara, Hiroyuki Tanaka, Soichi Inoue, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 25(5) 617-624 2012年  査読有り
    For high volume manufacturing (HVM) using extreme ultraviolet (EUV) lithography, a practical resist outgassing qualification system is required. Witness sample (WS) testing systems using electron beam (EB) or low power EUV have been proposed as candidate methods, however some issues remain on how these alternative light sources, in comparison to future high power EUV in exposure tools for HVM, will affect actual resist chemical reactions and ultimately resist outgassing. In this paper, we have investigated outgassing from resist induced optics contamination by utilizing two types of WS test systems of high power EUV and EB. A correlation between these light sources is discussed, especially focusing on the resulting chemical phenomena depending on resist material properties.
  • Hiroto Kudo, Nobumitsu Niina, Tomoharu Sato, Hiroaki Oizumi, Toshiro Itani, Takuro Miura, Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 25(5) 587-592 2012年  査読有り
  • Takeo Watanabe, Yuichi Haruyama, Daiju Shiono, Kazuya Emura, Takuro Urayama, Testuo Harada, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 25(5) 569-573 2012年  査読有り
    It had been reported that tri-phenysulfonium cyclo(1,3-perfluoropropanedisulfone) imidate (TPS-IMIDATE) as a photo acid generator has approximately four times faster sensitive rather than tri-phenysulfonium perfluorobutanesulfonate (TPS-PFBS) under the EUV exposure. In this paper, we discussed the differences of the sensitivity and the chemical reaction between TPS-IMIDATE and TPS-PFBS, on the basis of the EUV-induced analysis of the SR absorption spectroscopy. From the analysis of the SR absorption spectroscopy, F and C atoms in the anion of TPS-IMIDATE decomposed rather than that of TPS-PFBS. The sensitivity advantage of TPS-IMIDATE might own to the anion decomposition reaction. The anion decomposition reaction might be originated to the photo-excitation of the photoacid generator. The anion of TPS-IMIDATE decomposed to smaller molecule and the sensitivity becomes higher.
  • Tetsuo Harada, Masato Nakasuji, Teruhiko Kimura, Takeo Watanabe, Hiroo Kinoshita, Yutaka Nagata
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 29(6) 06F503 2011年11月  査読有り
    In extreme-ultraviolet (EUV) lithography, defect-free mask production is a critical issue for high-volume manufacturing. For mask inspection and metrology, we have developed a coherent EUV scatterometry microscope (CSM). It is a simple lensless system. An aerial image of the mask pattern is reconstructed with iterative calculation based on coherent diffraction imaging. Periodic patterns, aperiodic patterns, and phase structures were reconstructed well by the CSM. A defect in a line-and-space pattern was detected as a diffraction signal. The aerial image of the defect is also reconstructed. This paper demonstrates the capability of the CSM to observe complex diffraction amplitudes directly from the pattern and the defect. (C) 2011 American Vacuum Society. [DOI: 10.1116/1.3657525]
  • Tomoaki Inoue, Takayasu Mochizuki, Shuji Miyamoto, Sho Amano, Takeo Watanabe, Kazuhiro Kanda
    JAPANESE JOURNAL OF APPLIED PHYSICS 50(9) 098001 2011年9月  査読有り
    Soft X-ray conversion efficiencies in a 5-17nm wavelength range in xenon and tin plasmas produced by a 1.06 mu m Q-switched neodymium-doped yttrium aluminum garnet laser were investigated. They were measured to be 25 and 20% for the xenon and tin plasmas, respectively at a laser intensity of about 2 x 10(10) W/cm(2). These results indicate that a stand-alone soft X-ray source using a laser-produced xenon or tin plasma is useful for various applications that require intense, soft X-ray photons in a wide wavelength range. (C) 2011 The Japan Society of Applied Physics
  • Hiroo Kinoshita, Tetsuo Harada, Masato Nakasuji, Yutaka Nagata, Takeo Watanabe
    MICROELECTRONIC ENGINEERING 88(8) 2000-2003 2011年8月  査読有り
    A new mask inspection system for EUVL is being developed. The resolution of previously developed actinic inspection systems, which employ FZP or Schwarzschild optics, is limited to 60 nm. This prompted us to develop a new unorthodox mask inspection system: a lensless microscope with a coherent light source. This system can detect defects only a few nanometers wide, and it enables CD measurements with a 3 sigma accuracy of 0.32 nm. (C) 2011 Elsevier B.V. All rights reserved.
  • Yasuyuki Fukushima, Yuya Yamaguchi, Takafumi Iguchi, Takuro Urayama, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    MICROELECTRONIC ENGINEERING 88(8) 1944-1947 2011年8月  査読有り
    An extreme ultraviolet (EUV) interference lithographic exposure tool was installed at the long undulator beamline in NewSUBARU to evaluate EUV resists for 25 nm node and below. The two-window transmission grating of 40 and 50 nm half pitch (hp) were fabricated with techniques of spattering, electron beam lithography, dry etching and wet etching. hp patterns (20 and 25 nm) of chemically amplified resist (CAR) and non-CAR were successfully replicated using the EUV interference lithographic exposure tool. (C) 2011 Published by Elsevier B.V.
  • Tetsuo Harada, Masato Nakasuji, Masaki Tada, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 50(6) 06GB03 2011年6月  査読有り
    We have developed a coherent extreme ultraviolet scatterometry microscope (CSM) for actinic inspection and metrology of an extreme ultraviolet (EUV) mask. It was installed at the BL-3 beamline of the NewSUBARU synchrotron radiation facility. The CSM is a lens-less system with no objective, and aerial images and critical dimension (CD) values are estimated using the recorded diffraction image. A method of measuring CD values by reconstruction of aerial images using diffraction intensity has been developed. A repeatability of 0.3 nm (3 sigma) with a high precision is achieved with the actinic method. We also evaluate the CD uniformity of the 88 nm lines-and-spaces patterns on the finished EUV mask, which corresponds well with that obtained by critical-dimension scanning electron microscopy (CD-SEM) results. (C) 2011 The Japan Society of Applied Physics
  • Yuya Yamaguchi, Yasuyuki Fukushima, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 50(6) 06GB10 2011年6月  査読有り
    We proceed to develop the extreme ultraviolet (EUV) interference lithographic exposure tool to determine whether the obtained resist could satisfy the requirement for the 20 nm node and below down to the 11 nm node. Transmission grating fabrication is a key technology in EUV interference lithography (EUV-IL). To replicate a 20 nm line and space resist pattern, a 40 nm line and space (L/S) pattern is required for the transmission grating. In the hard mask process, by optimizing the resist thickness to 60 nm and the dry etching conditions, and improving the alignment procedure for back-side etching, the 40 and 35 nm L/S patterns of the transmission grating were successfully fabricated. In addition, by applying a chemically amplified resist to adopt the center stop process in the transmission fabrication process and optimizing the resist thickness to attenuate completely the 0th order light, which was transmitted through the absorber and membrane, we succeeded in increasing the light intensity of the interference fringes and in replicating the 20 nm L/S resist pattern on a wafer. Furthermore, the chemically amplified molecular resist in the positive tone was confirmed to have a 20 nm resolution. (C) 2011 The Japan Society of Applied Physics
  • Naohiro Matsuda, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita, Hiroaki Oizumi, Toshiro Itani
    JAPANESE JOURNAL OF APPLIED PHYSICS 50(6) 06GB02 2011年6月  査読有り
    To reduce the influence of carbon contamination growth, which is the origin of the resist outgassing during extreme ultraviolet (EUV) exposure, it is necessary to study the relationship between the outgassing species from the resist and carbon contamination growth during EUV exposure. Thus a novel evaluation system which enable the measurement of the carbon contamination thickness on a Mo/Si multilayer witness sample by in-situ ellipsometry in the resist outgassing environment under EUV exposure was installed at the beamline in the NewSUBARU synchrotron radiation facility. The carbon contamination thickness on the witness sample measured by in-situ ellipsometry is in good agreement with those measured using an atomic microscope and by reflectivity measurement. In addition, it is found that the time dependence of the carbon growth on a witness sample is due to the difference in outgassing species from the resist samples during EUV exposure. Furthermore, for the model resist of poly(hydroxystyrene) containing the protectiive group of tertial butoxycarbonyl group with a photoacid generator (PAG) and a quencher, the time dependence of contamination thickness was mainly due to the decomposition of outgassing species of the tert-butoxycarbonyl group and the residual casting solvent, such as propyleneglycol monomethyl ether acetate. In order to evaluate the carbon contamination thickness measurement, the in-situ measurement system was moved to the 10.8-m-long undulator beamline. The EUV light intensity flux was estimated to be the same level as that required in high-volume manufacturing. (C) 2011 The Japan Society of Applied Physics
  • Tetsuo Harada, Masato Nakasuji, Teruhiko Kimura, Takeo Watanabe, Hiroo Kinoshita, Yutaka Nagata
    Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics 29(6) 2011年  査読有り
    In extreme-ultraviolet (EUV) lithography, defect-free mask production is a critical issue for high-volume manufacturing. For mask inspection and metrology, we have developed a coherent EUV scatterometry microscope (CSM). It is a simple lensless system. An aerial image of the mask pattern is reconstructed with iterative calculation based on coherent diffraction imaging. Periodic patterns, aperiodic patterns, and phase structures were reconstructed well by the CSM. A defect in a line-and-space pattern was detected as a diffraction signal. The aerial image of the defect is also reconstructed. This paper demonstrates the capability of the CSM to observe complex diffraction amplitudes directly from the pattern and the defect. © 2011 American Vacuum Society.
  • Ken Horikawa, Takayasu Mochizuki, Shuji Miyamoto, Sho Amano, Takeo Watanabe, Dazhi Li, Kazuno Imasaki, Yasukazu Izawa
    The Review of Laser Engineering 39(6) 445-447 2011年  査読有り
    A photonuclear reaction cross section of iodine-129 was measured using a polarized laser-Compton
  • Takuro Urayama, Takeo Watanabe, Yuya Yamaguchi, Naohiro Matsuda, Yasuyuki Fukushima, Takafumi Iguchi, Tetsuo Harada, Hiroo Kinoshita
    J.Photopolymer Sci.Technol. 24(2) 153-157 2011年  査読有り
  • Yuriy Platonov, Jim Rodriguez, Michael Kriese, Eric Gullikson, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    EUV AND X-RAY OPTICS: SYNERGY BETWEEN LABORATORY AND SPACE II 8076 80760N 2011年  査読有り
    The most promising wavelength for the next generation EUV lithography in terms of maximizing throughput of an optical system was found to be 6.63nm, where highest peak reflectivity is expected at this wavelength using La(La2O3)/B4C structures. The optical throughput at 6.63nm is expected to be similar to 6 times lower than at 13.5nm due to the higher resolution of multilayers at the smaller wavelengths. La/B4C and La2O3/B4C multilayer structures were coated at RIT by using magnetron sputtering deposition technology. EUV reflectivity of the multilayers was tested at CXRO and NewSUBARU. The round robin measurements demonstrated a maximum deviation of 1.9% in the peak reflectivity and 0.0063nm in the peak position. The big difference in the peak value can be explained by presence of the higher harmonics in the probe beam at NewSUBARU which affected the accuracy of the measurements. The maximum peak reflectivity of 48.9% was measured from La/B4C multilayer at 6.68nm. Maximum reflectivity of La2O3/B4C structure at this wavelength was 39.2% while reflectivity at 6.63nm was measured to be 42.68%. The measured band width of the reflectivity curves was about 20% lower than for ideal structures. La2O3/B4C structure demonstrated a larger level of the imperfections resulting in much lower performance. EUV reflectivity of one of the La/B4C multilayers deposited in December 2000 was measured at NewSUBARU in January 2011 and the results were compared with the measurements performed in January 2001 at CXRO. The maximum reflectivity dropped from 42.6% to 37.6%. Reduction of the reflectivity band width from 0.044nm to 0.04nm was also observed.
  • Hiroaki Oizumi, Kazuyuki Matsumaro, Satoshi Nomura, Julius Joseph Santillan, Toshiro Itani, Takeo Watanabe, Naohiro Matsuda, Tetsuo Harada, Hiroo Kinoshita
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY II 7969 796921 2011年  査読有り
    This presentation summarizes the relationships between resist outgassing and contamination deposition for EUV resists, in the case of EUV irradiation with high illumination intensity (> 100mW/cm(2)). These relationships were obtained by determining the resist outgassing species by gas chromatography-mass spectroscopy (GC-MS) and the contamination on optical elements by witness sample testing.
  • Tetsuo Harada, Masato Nakasuji, Teruhiko Kimura, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita
    PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY XVIII 8081 8081K 2011年  査読有り
    For actinic mask inspection and metrology, we have developed a coherent EUV scatterometry microscope (CSM) at NewSUBARU of a synchrotron radiation facility. The CSM is composed of phi 5-mm pinhole, turning and focusing multilayer mirrors, a test EUV mask and a back-illuminated CCD camera. Thus this system is lens-less system, records diffraction EUV light from a mask pattern, which is exposed with coherent EUV light. The CSM inspects defect on the EUV mask by the coherent-diffraction-imaging method. Aerial images of periodic and aperiodic patterns on the EUV mask were well reconstructed by the iterative calculation. Since the CSM data include only the diffraction intensity, the missing phase information is reconstructed. A defect with 10-nm width was well inspected. The CSM also evaluates critical dimension (CD) of the mask patterns by diffraction intensities. The mask is illuminated with six-degree angle of the incidence, which equals to the EUV lithography scanners. The test EUV mask of 6025 glass substrate has line-and-space (L/S) patterns of 22-nm nodes. Absorber thickness is about 70 nm. The CSM result is well corresponding with the CD-SEM result at whole mask area. And, high repeatability of 0.3 nm (3 sigma) is achieved.
  • 渡邊健夫, 木下博雄, 原田哲男
    日本化学会第91春季年会講演予稿集 22(6) 2011年  招待有り
  • 木村 瑛彦, 原田 哲男, 渡邊 健夫, 木下 博雄
    精密工学会学術講演会講演論文集 2010 429-430 2010年  
    我々はEUVマスク欠陥検査を目的として、X線回折顕微法の原理に基づくCoherent EUV Scaterometrory Microscope (CSM)を開発している。CSMは結像光学系を用いず、波長13.5 nmのコヒーレント光をマスクに直接照射して得られた散乱光をCCDで記録する。画像処理により20 nm相当のマスクを評価する。本研究では画像処理に用いられる位相回復アルゴリズムについて検討した。
  • Yoshito Kamaji, Toshiyuki Uno, Kei Takase, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 49(12) 2010年  査読有り
    This paper is concerned with the observation of phase defects in an extreme ultraviolet lithography (EUVL) mask using an EUV microscope developed by the University of Hyogo. It is very important to determine the type and size of defects on a substrate that are printable after deposition of a multilayer film. Thus, some mask blanks with programmed hole-pit defects with different widths and depths were fabricated by a new process. In addition, critical dimensions of a pit defect were investigated using the EUV microscope. As a result, 4.0-nm-deep hole-pit defects with widths larger than 35nm were resolved. However, 4.0-nm-deep hole-pit defects with widths smaller than 25nm were not resolved. On the other hand, 3.0-and 2.0-nm-deep hole-pit defects with widths larger than 60nm were resolved. However, hole-pit defects with widths smaller than 40nm were not resolved. Furthermore, the EUVM system was capable of clearly resolving 1.0-nm-deep hole-pit defects with widths larger than 70 nm. However, hole-pit defects with widths smaller than 60nm were not resolved. From these results, we have determined the size of phase defects that are printable or not by observing phase defects that have various widths and depths on mask blanks utilizing the EUV microscope. (C) 2010 The Japan Society of Applied Physics
  • Kei Takase, Yoshito Kamaji, Naoki Sakagami, Takafumi Iguchi, Masaki Tada, Yuya Yamaguchi, Yasuyuki Fukushima, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 49(6) 06GD07 2010年  査読有り
    The extreme ultraviolet microscope (EUVM) has been developed for an actinic mask inspection of a EUV finished mask and a EUV blank mask. Using this microscope, amplitude defects on a finished mask and phase defects on a glass substrate are observed. However, it has a problem of low contrast, which originates from 1) thermal noise of a charge coupled device (CCD) camera, 2) wave aberrations of an optical component, and 3) a nonuniform illumination intensity. To resolve these issues, EUVM was improved. 1) To reduce a thermal noise, a cooled CCD camera is installed. 2) To remove wave aberrations of a back-end turning mirror, a Mo/Si multiplayer-coated thick glass substrate with a high surface accuracy is employed instead of a Si wafer substrate. Furthermore, in situ alignment was carried out to remove wavefront aberrations for a Schwarzschild imaging optics. In addition, 3) by installing a scanning system on the front-end turning mirror, a highly uniform illumination intensity was achieved. As a result, images of less than 100 nm without astigmatism were obtained. (C) 2010 The Japan Society of Applied Physics
  • Daiju Shiono, Hideo Hada, Kazufumi Sato, Yasuyuki Fukushima, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 49(6) 06GF05 2010年  査読有り
    A molecular resist material, which includes only one protecting group per molecule was designed and synthesized as Prot-1. After confirming the structure and purity of Prot-1, resist A formulated with Prot-1 as a base material was prepared. Resist A showed a good contrast curve. To confirm the decomposition behavior by an electron beam (EB) exposure, resist A was analyzed using high performance liquid chromatography (HPLC). From the HPLC analysis, it was found that the dissolution switching of resist A due to EB exposure was caused by a deprotection reaction of Prot-1. We evaluated the resolution and line edge roughness (LER) of resist A using EB lithography. Resist A showed 25 nm half pitch (hp) resolution and a partially resolved 20 nm hp at an exposure dose of 36 mu C/cm(2) using an EB writing system with an acceleration voltage (V) of 50 kV. The LER of resist A was 3.8 nm (3 sigma) for a 100-nm-hp line-and-space pattern, which is similar to a ZEP520A non chemically amplified resist. As a result, we confirmed that a uniform deprotection reaction of just one deprotection group of resist A in the exposed area improved LER and resolution. (C) 2010 The Japan Society of Applied Physics
  • Yasuyuki Fukushima, Naoki Sakagami, Teruhiko Kimura, Yoshito Kamaji, Takafumi Iguchi, Yuya Yamaguchi, Masaki Tada, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 49(6) 06GD06 2010年  査読有り
    Extreme ultraviolet interference lithography was carried out at the long undulator beamline in NewSUBARU. It was confirmed that the spatial coherence length is 1.1 mm using a 10-mu m-wide slit in the Young's double slit experiment. A 25-nm half pitch (hp) resist pattern was successfully replicated by extreme ultraviolet interference lithography (EUV-IL) utilizing a two-window transmission grating pattern of a 50-nm line and space (L/S). For the replication of a 20-nm L/S resist pattern by EUV-IL, we contrived a fabrication process that is suitable for a transmission grating pattern of 40-nm L/S and smaller. Employing a hard-mask process with a silicon dioxide (SiO2) layer on a tantalum-nitride (TaN) layer in the fabrication of a two-window transmission grating, we successfully achieved five times larger dry-etch selectivity in comparison with a non-hard-mask process. As a result, we confirmed the ability to apply this process to a 40-nm hp grating. (C) 2010 The Japan Society of Applied Physics

MISC

 194

書籍等出版物

 27

講演・口頭発表等

 882

所属学協会

 11

Works(作品等)

 3

共同研究・競争的資金等の研究課題

 11

メディア報道

 46