研究者業績

渡邊 健夫

ワタナベ タケオ  (Takeo Watanabe)

基本情報

所属
兵庫県立大学 高度産業科学技術研究所 極端紫外線リソグラフィー研究開発センター 教授 (学長特別補佐(先端科学技術・異分野融合研究推進)、所長特別補佐、センター長)
学位
理学博士(1990年9月 大阪市立大学)

J-GLOBAL ID
200901004223661630
researchmap会員ID
1000214822

外部リンク

論文

 310
  • Yuya Yamaguchi, Yasuyuki Fukushima, Takafumi Iguchi, Hiroo Kinoshita, Tetsuo Harada, Takeo Watanabe
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 23(5) 681-686 2010年  査読有り
    Exposure tool of EUV interference lithography (EUV-IL) has been developing for the EUV resist evaluation for 22 nm and 16 nm nodes below. The fabrication of the transmission grating is a key technology for EUV-IL. The transmission grating for EUV-IL which has no crack and no crumple in the membrane region was fabricated by controlling film stresses of SiO(2) and TaN layers. In addition, applying SiO(2) hard mask process, diffraction grating pattern of 50 nm L/S was fabricated. Furthermore, the center stop process was added to the transmission grating fabrication. And using this transmission grating 25 nm hp pattern was replicated on a wafer using EUV-IL. It is confirmed that the SiO(2) hard mask process is significant process for the fabrication of 40 nm hp grating which corresponding to 20 nm hp resist pattern on a wafer.
  • Yasuyuki Fukushima, Yuya Yamaguchi, Teruhiko Kimura, Takafumi Iguchi, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 23(5) 673-680 2010年  査読有り
    Extreme ultraviolet interference lithographic exposure tool was installed at the long undulator beamline in NewSUBARU for the resist evaluation in 25 nm node and below. It was confirmed that the spatial coherence length is 1.1 mm using a 10-mu m-wide slit in the Young's double slit experiment. The transmission grating was the key component to decide the resist pattern size and contrast for EUVIL. To obtain the high contrast of the interference fringes of the two window transmission grating on the wafer, the transmission grating was designed. The window size of the transmission grating optimized to be 300 x 30 um(2) in size to avoid Fresnel diffraction which reduces the contrast of the interference fringes on the wafer. In addition, to obtain highest diffraction efficiency, TaN employed as the absorber material, and the thickness of the absorber was optimized to be 70 nm. Furthermore "Center stop" layer was design to reduce the transmitted light from the region between two diffraction grating windows to obtain high contrast of the interference fringes on the wafer. A 25-nm half pitch (hp) resist pattern was successfully replicated by extreme ultraviolet interference lithography (EUV-IL) utilizing a two-window transmission grating pattern of a 50-nm line and space (L/S). As results, the transmission grating design can be applied for the resist patterning of 25 nm and below in EUV-IL.
  • Daiju Shiono, Hideo Hada, Kazufumi Sato, Yasuyuki Fukushima, Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 23(5) 649-655 2010年  査読有り
    Molecular resists of Prot-2 and Prot-1 were synthesized for decomposition analysis and lithographic evaluation. After checking their structure, purity and thermal property, decomposition behavior was analyzed by high performance liquid chromatography (H PLC). From the analysis, it was found that the main reaction in an exposed area was the deprotection reaction of the protecting group in electron beam (EB) and extreme ultraviolet (EUV) lithography. The exposure dose at which the conspicuous deprotection reaction occurs coincides with the exposure dose at which the resist film thickness reduces. Furthermore, the resists which include completely deprotected group, partially deprotected group and completely protected group, which dissolution rates were different coexist at the boundary between an exposed and an unexposed region. The fundamental decomposition-analysis results indicate that the line edge roughness (LER) is caused by the existence of the different dissolution rates of resist component materials at the boundary between an exposed and an unexposed region. After confirming the fundamental analysis, these molecular resists were evaluated on a lithographic performance by EB lithography. Resist-A consisting of Prot-1 showed 20 nm hp resolution at the exposure dose of 36 mu C/cm(2). In this paper, we will discuss about the resolution and the LER property of molecular resists at the point of their decomposition behavior.
  • Tsutomu Shoki, Takeo Watanabe
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 23(5) 619-624 2010年  査読有り
    Total quality on EUV mask blanks have to be improved toward future volume production. In this paper, progress in EUV blank development and improvement in flatness, bow and ML blank defects as critical issues on EUV blanks were reported. Steadily progress in flatness improvement was made in the past five years by improving polishing processes. A LTE substrate with a high flatness of 78 nm PV in 142 mm square area was achieved in average. Annealing process was developed to make small bow of less than 600 nm after ML coating. It was confirmed that annealed ML blank has stable performance in bow and centroid wavelength values through mask making process. Small bow of less than 300 nm was successfully demonstrated using annealing process and a CrN back side film with high compressive stress. Low defects of 0.05 defects/cm(2) at 60 nm SiO(2) sensitivity inspected by a Lasertec M1350 was demonstrated on a multilayer (ML) blank with a LTE substrate as best.
  • Takanori Owada, Hideaki Shiotani, Kayoko Aoyama, Takashi Kashiwamura, Mitsuru Shibata, Tetsuro Takeya, Hiroaki Oizumi, Toshiro Itani
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY 7636 692346 2010年  査読有り
    For improving resist performance, we have developed new Low-Molecular resists for which the substituted position and number of protecting group have no dispersion for controlling the chemical properties, such as solubility rate to alkaline developer. And we evaluated their Electron beam (EB) and Extreme Ultraviolet (EUV) patterning performance. The EUV lithographic evaluation of these resists was carried out at SFET (small field exposure tool) in Semiconductor Leading Edge Technologies Inc. (Selete). Newly synthesized resists have shown high performance of sensitivity and resolution under EB or EUV exposures. In this paper, we outline the design of new low molecular weight resists. The material properties, EUV outgassing analysis and the patterning capability of these newly synthesized low molecular weight resists are reported.
  • Tetsuo Harada, Junki Kishimoto, Takeo Watanabe, Hiroo Kinoshita, Dong Gun Lee
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 27(6) 3203-3207 2009年11月  査読有り
    A coherent scattering microscope for extreme ultraviolet (EUV) light has been developed for the actinic inspection of EUV lithography masks. It was installed at the NewSUBARU synchrotron facility. It provides aberration-free, diffraction-limited imaging and a high numerical aperture. Coherent EUV light scattered (diffracted) from a mask is recorded using an EUV charged coupled device camera with a numerical aperture of 0.15. An image of the sample is reconstructed using a hybrid input-output algorithm, which retrieves the phase from the intensity data. Masks containing periodic line-and-space and hole patterns with a half-pitch ranging from 100 to 400 nm were fabricated in the laboratory and imaged. The reconstructed images correlate well with images obtained with a scanning electron microscope (SEM). The actinic critical dimension of the linewidth of TaN absorber patterns on a mask was measured and was consistently found to be 25 nm larger than that obtained from the SEM data.
  • S. Aoki, S. Y. Bahk, S. H. Chung, H. Funahashi, C. H. Hahn, M. Hanabata, T. Hara, S. Hirata, K. Hoshino, M. Ieiri, T. Iijima, K. Imai, Y. Itow, T. Jin-ya, M. Kazuno, C. O. Kim, J. Y. Kim, S. H. Kim, K. Kodama, T. Kuze, Y. Maeda, A. Masaike, A. Masuoka, Y. Matsuda, A. Matsui, Y. Nagase, C. Nagoshi, M. Nakamura, S. Nakanishi, T. Nakano, K. Nakazawa, K. Niwa, H. Oda, H. Okabe, S. Ono, R. Ozaki, B. D. Park, I. G. Park, K. Sakai, T. Sasaki, Y. Sato, H. Shibuya, H. M. Shimizu, J. S. Song, M. Sugimoto, H. Tajima, H. Takahashi, R. Takashima, F. Takeutchi, K. H. Tanaka, M. Teranaka, I. Tezuka, H. Togawa, T. Tsunemi, M. Ukai, N. Ushida, T. Watanabe, N. Yasuda, J. Yokota, C. S. Yoon
    Nuclear Physics A 828(3-4) 191-232 2009年9月15日  査読有り
    An emulsion-counter hybrid experiment (KEK E176) was carried out to search for double strangeness systems such as double-Λ hypernuclei and H-dibaryons. More than 10% of Ξ- hyperons produced in the (K-, K+) reaction were brought to rest in the nuclear emulsion. We have obtained 98 candidate events of nuclear capture at rest of Ξ- hyperons which are described in this report. Among those, four events were identified as sequential weak decay of double-Λ hypernuclei. The binding energies of Ξ--(12C, 14N and 16O) states have been estimated for two events which emit twin single-Λ hypernuclei back to back from the capture point. The Σ- p decay vertex of an H-dibaryon was searched for near the capture point and no evidence was observed. Upper limits for the branching ratio of H emission are 5-10% for a lifetime less than 0.1 ns at the 90% confidence level. The trapping probabilities of single and double strangeness to a nuclear fragment following Ξ- capture at rest have been studied. © 2009 Elsevier B.V. All rights reserved.
  • K. Hamamoto, N. Sakaya, M. Hosoya, M. Kureishi, R. Ohkubo, T. Shoki, O. Nagarekawa, J. Kishimoto, T. Watanabe, H. Kinoshita
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 27(4) 1938-1942 2009年7月  査読有り
    This article concerns the observation of phase defects in an extreme-ultraviolet lithography (EUVL) mask with an extreme-ultraviolet (EUV) microscope developed by the University of Hyogo. The influence of phase defects in a multilayer blank with an absorber pattern on critical dimension was examined. The test mask had line-shaped, programed phase defects at various places relative to the absorber lines. Since the defects were as high as 12 nm, the absorber pattern had a considerable influence on them. In places where a line defect crossed the absorber pattern, the change in critical dimension was proportional to the size of the defect. The experimental results agree well with exposure results obtained using the same mask. They demonstrate that an EUV microscope is a promising tool for evaluating finished EUVL masks and multilayer mask blanks and can eliminate the need for replication tests with an exposure tool.
  • Daiju Shiono, Hideo Hada, Taku Hirayama, Junichi Onodera, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 48(6) 06FC08 2009年6月  査読有り
    We have designed and synthesized a molecular resist material, which has only two protecting groups per molecule (prot-mad-2). Resists with this material can resolve a sub-30-nm half pitch (hp) pattern. We quantitatively analyzed a decomposition reaction using prot-mad-2 at the exposed and unexposed areas by taking advantage of its properties of high purity and simple structure. From the high performance liquid chromatography (HPLC) results, it was found that the main decomposition reaction was deprotection of prot-mad-2. The ratio of partly deprotected material (deprot-1-prot-mad-1) and fully deprotected material (deprot-2) increased with exposure dose. It was found that the exposure dose resulting in maximum surface roughness coincided with the exposure dose where fully protected, partly deprotected, and fully deprotected materials were present in equal quantities in the resist film. Furthermore, dissolution rates of prot-mad-2, deprot-1-prot-mad-1 and deprot-2 were completely different. It is considered that high surface roughness was generated by the different dissolution rates of prot-mad-2, deprot-1 -prot-mad-1 and deprot-2. The results suggest that reducing the range of dissolution rates at the boundary between exposed and unexposed regions is key to improving line edge roughness (LER). (C) 2009 The Japan Society of Applied Physics
  • Yoshito Kamaji, Kei Takase, Takahiro Yoshizumi, Takashi Sugiyama, Toshiyuki Uno, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 48(6) .06FA07 2009年6月  査読有り
    We constructed an extreme ultraviolet microscopy (EUVM) system for actinic mask inspection that consists of Schwarzschild optics and an X-ray zooming tube. This system was used to inspect completed extreme ultraviolet lithography (EUVL) masks and Mo/Si coated substrates on ultralow expansion (ULE) glass. We also have fabricated programmed phase defects on the blanks used for inspection. The EUVM system was capable of resolving a programmed line-pit defect with a width of 40 nm and a depth of 10 nm and also that with a width of 70 nm and a depth of 2 nm. However, a 75-nm-wide, 1.5-nm-deep pit defect was not resolved. The EUVM system was also capable of resolving programmed hole-pit defects with widths ranging from 35 to 170 nm and depths ranging from 2.2 to 2.5 nm. However, 20-nm-wide, 1.5-nm-deep hole-pit defects were not resolved. These results agree with the simulation results perfectly. Thus, in this study, critical dimensions of a pit defects on mask blanks were determined to be a width of 20 nm and a depth of 2 nm. (C) 2009 The Japan Society of Applied Physics
  • Ryuji Ohnishi, Takeo Watanabe, Yasuyuki Fukushima, Masafumi Osugi, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 48(6) 06FA08 2009年6月  査読有り
    A transmission measurement system with high accuracy was installed in the BL10 beamline of the NewSUBARU synchrotron facility. We measured the transmittance of a resist using extreme ultraviolet (EUV) light, and evaluated the relation between the E(0) sensitivity and the transmittance of the resist under EUV exposure. The measured transmittance was almost the same as the calculated value obtained using from the table of Henke atomic scattering factors. Furthermore, it is confirmed that there is a correlation between the photoabsorption coefficient of the anion of PAG and the E(0) sensitivity under EUV exposure at a wavelength of 13.5 nm. Thus, increasing the atomic photoabsorption cross section of the anion of PAG is effective in increasing the sensitivity-of the chemically amplified resist in EUVL. (C) 2009 The Japan Society of Applied Physics
  • Tomomi Nakahara, Takeo Watanabe, Hiroo Kinoshita, Takayasu Mochizuki, Yoshiyuki Takahara, Yoshinobu Uozumi, Kouji Nakagawa
    JAPANESE JOURNAL OF APPLIED PHYSICS 48(6) 06FC10 2009年6月  査読有り
    Amine additive compounds play an important role in obtaining high sensitivity and low line edge roughness (LER) in photoacid generator (PAG)-bonded resists. It was confirmed that the PAG-bonded resist improved sensitivity and LER simultaneously with an amine additive. High sensitivity and low LER can be achieved simultaneously by optimizing the amine compound ratio in a PAG-bonded resist system. By optimizing the contents of the PAG and the amine compound ratio, the resolution was improved up to 40 nm. The sensitivity and LER of a 40 nm line/space (L/S) pattern of the PAG-bonded resist were 26 mu C/cm(2) and 4.9 nm (3 sigma), respectively. Furthermore, by loading the PAG, a sensitivity of 16 mu C/cm(2) was achieved for a 60 nm L/S pattern. The E(0) sensitivity was 1.75 mJ/cm(2) under extreme ultraviolet (EUV) exposure. It was also confirmed that the PAG-bonded resist has high sensitivity under EUV exposure. (C) 2009 The Japan Society of Applied Physics
  • H. Kinoshita, T. Yoshizumi, M. Osugi, J. Kishimoto, T. Sugiyama, T. Uno, T. Watanabe
    MICROELECTRONIC ENGINEERING 86(4-6) 505-508 2009年4月  査読有り
    We constructed an extreme ultraviolet microscopy (EUVM) system for actinic mask inspection that consists of Schwarzschild optics and an X-ray zooming tube. This system was used to inspect finished extreme ultraviolet lithography (EUVL) masks and Mo/Si glass substrates. A clear EUVM image of a 300-nm-wide pattern on a 6025 glass mask was obtained. The resolution was estimated to be 50 nm or less from this pattern. Programmed phase defects on the glass substrate were also used for inspection. The EUV microscope was able to resolve a programmed pit defect with a width of 40 nm and a depth of 10 nm and also one with a width of 70 nm and a depth of 2 nm. However, a 75-nm-wide 1.5-nm-deep pit defect was not resolved. Thus, in this study, one critical dimension of a pit defect was estimated to be a depth of 2 nm. (C) 2008 Published by Elsevier B.V.
  • Daiju Shiono, Hideo Hada, Kazufumi Sato, Yasuyuki Fukushima, Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 22(6) 737-741 2009年  査読有り
    Molecular resist material having only one protecting group per molecule (Prot-1) was designed and synthesized. After confirming the structure and purity of Prot-1, Resist-A formulated with Prot-1 as a base material was prepared. Resist-A showed good contrast curve. To confirm the decomposition behavior, Resist-A was analyzed by using High Performance Liquid Chromatography (HPLC) at the exposure dose of E(0) value exposed by electron beam (EB). From the HPLC analysis, it was found that the dissolution contrast of Resist-A was caused by deprotection reaction of Prot-1. We evaluated resolution limit of Resist-A by using EB. Resist-A showed 25 nm hp resolution and partially resolving 20 nmhp at the exposure dose of 36 mu C/cm(2). The mechanism which generates dissolution contrast by the reaction of just one protecting group per molecule would be a key of 22 nmhp generation and beyond at the point of the high resolution property.
  • Yasuyuki Fukushima, Takeo Watanabe, Tetuo Harada, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 22(1) 85-88 2009年  査読有り
    We evaluated the transmittance and the sensitivity of the chemically amplified (CA) resist including various kind of photo acid generator (PAG) to clarify cause-and-effect relationship between the photo-absorption of PAG and the sensitivity under extreme ultraviolet (EUV) exposure. Transmission measurements and sensitivity measurements were carried out at the BL10 and BL3 beamlines in NewSUBARU synchrotron radiation facility. It is confirmed that increasing the atomic absorption cross section of an anion of PAG is effective in improving the sensitivity of the CA resist in EUVL.
  • Kei Takase, Yoshito Kamaji, Takafumi Iguchi, Takashi Sugiyama, Toshiyuki Uno, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    Proceedings of SPIE - The International Society for Optical Engineering 7379 73792J 2009年  査読有り
    We constructed an extreme ultraviolet microscope (EUVM) system for actinic mask inspection that consists of Schwarzschild optics and an X-ray zooming tube. This system was used to inspect finished extreme ultraviolet lithography (EUVL) masks and Mo/Si coated substrates of ULE glass. And we have fabricated programmed phase defects on the blanks used for inspection. The EUVM was able to resolve a programmed line-pit defect with a width of 40 nm and a depth of 10 nm, and also with a width of 70 nm and a depth of 2.0 nm. However, a 75-nm-wide 1.5-nm-deep pit defect was not resolved. Also, the EUVM was able to resolve a programmed hole-pit defects with widths ranging from 35 nm to 170 nm and depths ranging from 2.5 nm to 2.2 nm. However, 20-nm-wide 1.5-nm-deep hole-pit defects were not resolved. These results agree with the simulation results perfectly. Thus, in this study, one critical dimension of a pit defects was experimentaly estimated to be a width of 20 nm and a depth of 2.0 nm. © 2009 SPIE.
  • Daiju Shiono, Hideo Hada, Taku Hirayama, Junichi Onodera, Takeo Watanabe, Hiroo Kinoshita
    ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXVI 7273 727330 2009年  査読有り
    We have designed and synthesized molecular resist material, which has just only two part protecting groups in one molecule (Prot-Mad-2). The resist can resolve below 30 nm hp pattern. We analyzed decomposition reaction using Prot-Mad-2 at the un-exposed and exposed area quantitatively by taking advantage of its property of high purity and simple structure. From the HPLC results, it was found that main decomposition reaction was deprotection of Prot-Mad-2. The ratio of one part de-protected material (Deprot-1-prot-Mad-1) and fully de-protected material (Deprot-2) changed with exposure dose. It was found that exposure dose of surface roughness maximum coincided with the exposure dose where materials of two-part protection, one part de-protection and fully de-protection existed equally in the resist film. Furthermore, dissolution rates of Prot-Mad-2, Deprot-1-prot-Mad-1 and Deprot-2 were totally different. It is considered that surface roughness was generated by different dissolution rates in the presence of Prot-Mad-2, Deprot-1-prot-Mad-1 and Deprot-2. Our result suggests that reducing a variety of dissolution rates at exposed and un-exposed boundary is a key to improve line edge roughness (LER).
  • Ichihiro Aratani, Shuji Matsunaga, Tsuyoshi Kajiyashiki, Takeo Watanabe, Hiroo Kinoshita
    ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXVI 7273 72731Z 2009年  査読有り
    In developing high sensitivity extreme ultraviolet (EUV) resists, we focused on the fact that EUV photon absorption by chalcogen atoms is larger than that by carbon or hydrogen atoms. We chose this focus because it is considered that in EUV the absorption of incident radiation by base polymers influences acid generation. To determine the effects of introducing chalcogen atoms into base polymers under EUV exposure on lithography performance, we synthesized novel co-polymers of novel methacylate monomers that included oxygen and sulfur atoms in acid-cleavable moiety as well as polar monomers, and evaluated their sensitivity under EUV and ArF exposure. The sensitivity of polymers that were rich in chalcogen atom content improved more under EUV exposure than under ArF exposure. We also used a high-sensitivity quadrupole mass spectrometer (QMS) to observe the outgassing species generated from these polymers under EUV exposure in detail.
  • Yasuyuki Fukushima, Takeo Watanabe, Ryuji Ohnishi, Hideaki Shiotani, Shota Suzuki, Masamichi Hayakawa, Yusuke Endo, Tomotaka Yamanaka, Shinichi Yusa, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 47(8) 6293-6296 2008年8月  査読有り
    We developed and evaluated a photoacid generator (PAG)-bonded resist, a chemical amplified (CA) resist for decreasing line edge roughness (LER) and increasing sensitivity in extreme ultraviolet lithography (EUVL) and electron beam (EB) lithography. We investigated many samples to find an effective for the PAG-bonded resist. Under EB exposure. the LERs and resolutions of the PAG-bonded resist and PAG-blended resist which uses the same PAG and base polymer were compared. It was confirmed that the LER and resolution of the PAG-bonded resist are better than those of the PAG-blended resist. An LER of 3.5 nm in 75 nm line-and-space (L/S) and a resolution of 25 nm space were achieved. Under EUV exposure, a sensitivity of 5.0 mJ/cm(2) was achieved.
  • Hideaki Shiotani, Shota Suzuki, Dong Gun Lee, Patrick Naulleau, Yasuyuki Fukushima, Ryuji Ohnishi, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 47(6) 4881-4885 2008年6月  査読有り
    An extreme ultraviolet (EUV) interference lithography beamline using a single grating has been constructed at the BL3 beamline in the NewSUBARU synchrotron radiation facility. Using a single grating, a 400-nm line and space (L&S) resist pattern was replicated on a wafer by single grating interferometric lithography system combined with a bending magnet as a light source. In addition, a dual grating interferometric lithography which is suitable for a bending magnet as a light source has been designed and constructed at the BL3 beamline in NewSUBARU. Dual grating interferometric lithography has a capability to replicate of a 28 nm L&S pattern on the basis of the interference-fringes calculation under conditions of a partial coherent light source such as a bending magnet. In the dual grating interference optical system, two transparent gratings was employed. In addition, the dual grating interference lithographic exposure method can be combined with a stand alone EUV source, such as a laser produced plasma or a discharge produced plasma. Therefore, this exposure system is a compact system for the evaluation of resolution and line edge roughness (LER) in a EUV resist.
  • Morio Hosoya, Noriyuki Sakaya, Osamu Nozawa, Yuki Shiota, Kazuo Hamamoto, Osamu Nagarekawa, Shoji Shimojima, Tsutomu Shoki, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 47(6) 4898-4905 2008年6月  査読有り
    We developed an accurate method for determining the optical index of Ta and Ta-based absorber layers with added nitrogen, oxygen, and boron for an extreme ultraviolet (EUV) mask using EUV reflectometry. The optical index at EUV wavelengths was derived from the density and atomic concentration of the composite materials. The atomic concentrations of Ta and Ta-based absorbers were determined using X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectrometry (RBS) analysis methods when no inconsistency occurred between the results of the XPS and RBS analyses. The volume densities of the Ta and Ta-based absorbers were determined using RBS and EUV reflectivity measurements with the grazing angle (EUVRG) or reflectivity (EUVR) when no inconsistency was observed between results. Deriving the volume density was necessary to establish the layer structure and layer thickness, and the surface oxidation layer was especially important for determining the correct volume density. The layer structure and thickness were derived using a pattern-fitting method for the XRR spectrum. The extinction coefficients of Ta and Ta-based absorbers stacked using conventional sputtering were lower than the extinction coefficient of an ideal Ta crystal.
  • Masafumi Osugi, Kazuumi Tanaka, Noriyuki Sakaya, Kazuhiro Hamamoto, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 47(6) 4872-4877 2008年6月  査読有り
    We developed the extreme ultraviolet (EUV) beam splitter, which is a critical component for resolution enhancement, to achieve the uniform numerical aperture (NA) for all planar directions in the EUV microscope. In the fabrication of the EUV beam splitter, stress control of the Mo/Si multilayer is a necessary to achieve a self-standing membrane of the Mo/Si multilayer. We succeeded with the stress control by optimizing RF power, DC power, and argon working pressure during Mo/Si multilayer deposition. A large effective area of 8 x 10mm(2), reflectivity of 27%, and transmittance of 17% were achieved simultaneously. Furthermore, by installing the EUV beam splitter in stead of a turning mirror of Schwarzschild optics (SCO), the NA of the SCO became the same in the horizontal and vertical directions on a sample plane. Thus, the 300-nm line and space elbow pattern on an EUV mask for all planar directions was clearly resolved. We have developed an EUV microscope with an EUV beam splitter and succeeded in the highly precise pattern inspection.
  • Takanori Owada, Takeo Watanabe, Hiroo Kinoshita, Hiroaki Oizumi, Iwao Nishiyama
    ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXV, PTS 1 AND 2 6923 2008年  査読有り
    Low molecular weight materials that form a stable glass above room temperature offer several advantages comparison with traditional linear polymers as patterning feature size decreases. Low molecular weight amorphous materials that are free from chain entanglements with smaller molecular size and high density of sterically congested peripheral molecules are expected to reduce the variations in line edge roughness (LER) at smaller feature dimensions. In addition, the small uniform molecular size offers excellent processability, flexibility, transparency and uniform dissolution properties based on elemental composition. The evaluation of the novel low molecular weight amorphous resists was carried out both at NewSUBARU synchrotron facility of University of Hyogo, and at ASET EUV process technology research lab. in Japan. Newly synthesizes low molecular weight resist has shown high performance of sensitivity and resolution under EB or EUV exposures and also etch resistance. In this paper, we outline the design and synthesis of new molecular weight resists. The material properties, photochemistry and the patterning capability of these newly synthesizes low molecular weight resist will be discussed.
  • Takashi Sasaki, Osamu Yokokoji, Takeo Watanabe, Hiroo Kinoshita
    ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXV, PTS 1 AND 2 6923 692347 2008年  査読有り
    In order to improve EUVL resist characteristics, especially sensitivity, we have investigated two types of partially fluorinated resist polymers. The one was side chain fluorinated PHS type resist polymers. The other was main chain fluorinated resist polymers. Poly (p-hydroxystyrene) (PHS) type polymers with trifluorostyrene (TFSt) were synthesized and characterized their sensitivity behavior. From this evaluation, we found that PHS contained TFSt unit had a high sensitivity, keeping their etching durability. We expect that TFSt unit can work to enhance the resist sensitivity in PHS based EUVL resist polymers. Main chain fluorinated polymers based FIT unit (FITMAd and FITAdOM) were synthesized. FITMAd and FITAdOM showed high sensitivity compared to non fluorinated reference sample. From molecular weight measurement, we infer that the polymer main chain of FITMAd can be decomposed by irradiating with EUV light. The outgassing of FITMAd and FITAdoM were measured. There is no big difference between the total outgassing of FIT polymers and that of non fluorinated acrylic sample. And small amount of Hydrogen fluoride (HF) were detected. We infer that FITMAd and FITAdOM are decomposed then HF is generated under EUV exposure. From these results, we expect that FIT unit can work to enhance the resist sensitivity and can act main chain decomposed resist unit in EUVL resist polymers.
  • Sungmin Huh, Hoon Kim, Gisung Yoon, Jaehyuck Choi, Han-Shin Lee, Dong Gun Lee, Byungsup Ahn, Hwan-Seok Seo, Dongwan Kim, Seong Sue Kim, Han Ku Cho, Takeo Watanabe, Hiroo Kinoshita
    EMERGING LITHOGRAPHIC TECHNOLOGIES XII, PTS 1 AND 2 6921 692115 2008年  査読有り
    Lifetime of EUVL masks which are intentionally contaminated with carbon is investigated by comparing Si and Ru capping layer. Carbon deposition is observed not only on the multilayer, but also on the absorber sidewall of the mask. Deposited carbon on the sidewall during EUV exposure gradually varies mask CD and also induces the changes in the wafer printability and dose in the scanner. In addition, we compare the effects of carbon contamination between Si and Ru capped blank. Ru capped blank shows longer mask mean time between cleaning (MTBC) than Si capped blank by 25 % in our experiments.
  • Yasuyuki Fukushima, Takeo Watanabe, Ryuji Ohnishi, Hiroo Kinoshita, Shota Suzuki, Shinichi Yusa, Yusuke Endo, Masamichi Hayakawa, Tomotaka Yamanaka
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 21(4) 465-468 2008年  査読有り
    In order to reduce line edge roughness and to increase sensitivity, PAG-bonded chemically amplified resist was developed and was evaluated in extreme ultraviolet lithography (EUVL) and electron beam (EB) lithography. We investigated various kinds of photo acid generators (PAGs) which are on the basis of the sulfonium salts to find the beneficial photo acid generator (PAG) for our designed PAG-bonded resist. It was confirmed that not only the structure of anion of PAG but also the structure of cation of PAG are important to achieve the resist specification. It is confirmed that by a selected PAG system, high E-0, sensitivity was achieved. under the EUV exposure. In addition, LER of 3.5 nm (3 sigma) was achieved by an EB writing tool.
  • Shota Suzuki, Yasuyuki Fukushima, Ryuji Ohnishi, Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 21(3) 435-438 2008年  査読有り
    Extreme ultraviolet interference lithography (EUVIL) beamline which employed a single grating was constructed at the BL3 beamline in NewSUBARU synchrotron radiation facility. Bending magnet was attempted as a light source, and Ta layer was employed as an absorber layer of 0(th) order light in transparent grating. Using this system, 400-nm L&S resist pattern was replicated on a wafer, which shows possibility of EUV interference lithographic technology employing bending magnet as a light source.
  • Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 21(6) 777-784 2008年  査読有り
    Most recent topics of EUVL are that AMD demonstrated first functional device using ADT in Albany to integrate SRAM cells in the full chip cell of Typhoon, and IMEC demonstrated functional 0.186 mu m(2) 32 nm node SRAM cells with extreme ultraviolet lithography (EUVL) on the contact-hole level. The recent topics and prospect for extreme ultraviolet (EUV) lithographic technology are described of the exposure tools, EUV source, mask inspection, and resist development. EUVL source technology has been advanced in these three years to increase the power and to extend the beam stability. For the mask, defect inspection system development was advanced by a several institute to detect the defect to find the threshold condition of printable and non-printable. As for a resist, the resolution and sensitivity were refined to become close to satisfy the required specification for 32-nm node. However LWR has not been satisfied the specification yet. Furthermore, since EUVL will be pushed for 22-nm node and it should be use until 11 nm node in the ITRS, EUVL technology has to be ready around 2012 to transfer to the pilot line. For 22-nm node, the resist has not been satisfied yet. Thus it needs large steps to develop the resist material and process for 22-nm node and below down to 16-nm node in EUVL.
  • Morio Hosoya, Noriyuki Sakaya, Osamu Nozawa, Yuki Shiota, Shoji Shimojima, Tsutomu Shoki, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46(9B) 6128-6134 2007年9月  査読有り
    In this study, we developed a method of measuring the intensity of rays scattered from a molybdenum/silicon (Mo/Si) multilayer film using an extreme ultraviolet (EUV) reflectometer. We examined the correlations between the peak reflectance, the interfacial roughness of multilayer films, and the substrate roughness. We measured the intensity of scattered rays 13.5 nm from the substrate surface for normal smooth quartz (NSQz), supersmooth quartz (SSQz), and Si substrates using the EUV reflectometer. The intensity of rays scattered from the substrate surface was proportional to the atomic force microscopy (AFM) roughness of the surface. For NSQz, there was a particular strong correlation between the surface roughness determined by AFM and the intensity of scattering rays determined using the EUV reflectometer. However, a week correlation was observed for SSQz and Si. The precisions of the AFM and X-ray reflectivity (XRR) measurement were low for the quartz substrate. A direct measurement of the intensity of rays scattered from the substrate surface was used to estimate the relative surface roughness independent of the substrate material. The EUV reflectivity and intensity of rays scattered from the Mo/Si multilayer films with two deposition geometries were measured using the EUV reflectometer. The peak reflectivity was related to the substrate roughness for each deposition geometry. Moreover, the peak reflectivity was related to the intensity of rays scattered from the multilayer films and was not influenced by the deposition geometry. The results obtained using the EUV reflectometer showed an obvious relationship between the intensity of scattering rays and the interfacial roughness of multilayer films.
  • Kazuumi Tanaka, Kazuhiro Hamamoto, Noriyuki Sakaya, Morio Hosoya, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46(9B) 6150-6154 2007年9月  査読有り
    We evaluated the cleaning characteristics of a 172 nm excimer lamp. The 172 nm excimer lamp was used to irradiate contaminated samples under different conditions, such as various irradiance distances, irradiance environments and atmospheric O-2 flow rates that enhance the removal rate. As results, we found the most suitable conditions, which are the absence of surface damage and increasing temperature by irradiation with the 172 nm excimer lamp. Using these conditions, we carried out the cleaning of the Schwarzchild optics used for extreme ultraviolet microscopes. Before cleaning, the total reflectivity of the Schwarzchild optics was only 2.5% because of the adhesion of contamination. However, it was restored to a reflectivity of more than 30% by cleaning. The reflectivity of the Schwarzchild optics was restored to its initial value. We found that 172 nm excimer lamps are very effective for the removal of contamination.
  • Yasuyuki Fukushima, Takeo Watanabe, Ryuji Ohnishi, Hideaki Shiotani, Shouta Suzuki, Masamichi Hayakawa, Satoshi Ogi, Yusuke Endo, Tomotaka Yamanaka, Shinichi Yusa, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46(9B) 6198-6201 2007年9月  査読有り
    We developed a novel chemically amplified resist to increase sensitivity and reduce line edge roughness (LER) in extreme ultraviolet (EUV) lithography. This resist consists of a base resin of which a photochemical acid generator (PAG) is bonded to the side chain this is called the PAG-bonded resist. Under EUV exposure, an E-0 sensitivity of 1.9 mJ/cm(2) and a low outgassing pressure of 2.5 x 10(-6) Pa were achieved. Resolution and LER were obtained by electron beam (EB) exposure. Under EB exposure, an LER of 2.1 nm (3 sigma) for a 100 nm line and space (L/S) pattern was achieved by the PAG-bonded base resin. In addition, we improved this resist and an LER of 4.0 nm (3 sigma) for a 50 nm L/S pattern was achieved under EB exposure. These results demonstrate that the novel resist system has a good advantage in terms of high sensitivity and low LER.
  • Takeo Watanabe, Yasuyuki Fukushima, Hideaki Shiotani, Ryuji Ohnishi, Shota Suzuki, Hiroo Kinoshita, Shinichi Yusa
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46(9B) 6118-6123 2007年9月  査読有り
    A resist for extreme ultraviolet (EUV) lithography requires a small line edge roughness (LER) and a high sensitivity. The achievements of a small LER and a high sensitivity are discussed. One of the candidates for an EUV resist is a main-chain-decomposition-type chemically amplified (CA) resist, such as a methacrylate resist. As a result of outgassing-mass analysis of a methacrylate resist under EUV exposure, we confirmed that the main chain decomposed and this resist has a low outgassing rate compared with an ESCAP-type resist which is a high-annealing-temperature-type CA resist. Another candidate is a photo acid generator (PAG)-bonded CA resist, which has an advantage of a uniform density distribution in the resist system. This resist has an E-0 sensitivity of 1.9 mJ/cm(2) and a low outgassing rate under EUV exposure. Furthermore, a PAG-bonded resist has a LER of 3 nm (3 sigma) and a resolution of 30 nm under electron beam (EB) exposure. It is expected that a PAG-bonded CA resist has a good capability to achieve a small LER and high sensitivity for an EUV resist of a 32 nm node.
  • Hiroo Kinoshita, Kazuhiro Hamamoto, Nobuyuki Sakaya, Morio Hosoya, Takeo Watanabe
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46(9B) 6113-6117 2007年9月  査読有り
    We constructed an extreme ultraviolet microscopy (EUVM) system for actinic mask inspection that consists of Schwarzschild optics and an X-ray zooming tube. Using this system, a finished extreme ultraviolet lithography (EUVL) mask and Mo/Si glass substrates were inspected. An EUVM image of a 100-nm-width pattern on a 6025 glass mask was clealy observed. The resolution was estimated to be 50 nm or less from this pattern. The programmed phase defect on the glass substrate was also used for inspection. By using the EUV microscope, a programmed phase defect with widths of 90, 100, and 110 nm, a bump of 5 run and a length of 400 pin was observed finely. The programmed phase defect of a 100-nm-wide and 2-nm-deep pit was also observed. Thus, in this research, the observation of a programmed phase defect was advanced using the EUV microscope, which succeeded in observing a topological defect structure image of a multilayer film. These results show that it is possible to detect the internal reflectance distribution of a multilayer film under the EUV microscope, without depending on surface pertubation.
  • H. Kinoshita, K. Hamarnoto, N. Sakaya, M. Hosoya, T. Shoki, Y. Mizuta, T. Yoshizumi, K. Tanaka, T. Watanabe
    MICROELECTRONIC ENGINEERING 84(5-8) 1015-1018 2007年5月  査読有り
    We constructed the EUV microscope (EUVM) for actinic mask inspection which consists of Schwarzschild optics (NA 0.3, 30x) and X-ray zooming tube. Using this system, EUVL finished mask and Mo/Si coated glass substrates are inspected. EUVM image of 300-nm-wide pattern of finished mask was clearly observed. Resolution can be estimated to be 50 nm or less from this pattern. The programmed phase defect on the glass substrate made by HOYA is also used for inspection. By using EUV microscope, programmed phase defect with dot patterns of over 90 nm in size and over 4 nm-height bump can be observed finely. And the programmed phase defect of 100-nm-wide and 2-nm-depth pit was also observed. Thus, in this research, observation of a programmed phase defect was advanced using the EUV microscope, in other words, it is succeeded in observation of the topological defect image inside a multilayer film. These results show that it is possible to detect internal reflectance distribution of multilayer under the EUV microscope, without being dependent on surface figure. (c) 2007 Elsevier B.V. All rights reserved.
  • Masafurm Osugi, Kazuumi Tanaka, Noriyuki Sakaya, Kazuhiro Hamamoto, Takeo Watanabe, Hiroo Kinoshita
    MICROPROCESSES AND NANOTECHNOLOGY 2007, DIGEST OF PAPERS 400-+ 2007年  査読有り
  • Morio Hosoya, Noriyuki Sakaya, Osamu Nozawa, Kazuo Hamamoto, Osamu Nagarekawa, Takeo Watanabe, Hiroo Kinoshita
    MICROPROCESSES AND NANOTECHNOLOGY 2007, DIGEST OF PAPERS 528-+ 2007年  査読有り
  • Hideaki Shiotani, Shota Suzuki, Dong Gun Lee, Patrick Naulleau, Takeo Watanabe, Yasuyuki Fukushima, Ryuji Ohnishi, Hiroo Kinoshita
    MICROPROCESSES AND NANOTECHNOLOGY 2007, DIGEST OF PAPERS 47 46-+ 2007年  査読有り
  • Yasuyuki Fukushima, Takeo Watanabe, Ryuji Ohnishi, Hiroo Kinoshita, Hideaki Shiotani, Shouta Suzuki, Masamichi Hayakawa, Yusuke Endo, Tomotaka Yamanaka, Shinichi Yusaa
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 20(3) 419-422 2007年  査読有り
    We developed and evaluated PAG bonded resist which is chemically amplified (CA) resist to reduce line edge roughness (LER) in extreme ultraviolet lithography (EUVL). Under electron beam (EB) exposure, it is compared that LER and resolution of photo acid generator (PAG) bonded resist and PAG blended resist which using same PAG and base polymer. It was found that LER and resolution of PAG bonded resist are better than that of PAG blended resist. LER of 3.5 nm in 75 nm US and resolution of 25 nm space were achieved. Under EUV exposure, sensitivity of 5.0 mJ/cm(2) is achieved.
  • Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 20(3) 373-382 2007年  査読有り
    It is reviewed that research and development of resist for extreme ultraviolet lithographic in University of Hyogo. It is focused on the mitigation of the development of high sensitive and low line edge roughness EUV resist. To achieve high sensitivity, it is found that cyclo(1,3-perfluoropropanedisulfone) imidate employed as an anion of PAG is beneficial in EUV exposure to achieve high sensitivity. To achieve low LER and high sensitivity simultaneously, PAG bonded resist is proposed. We demonstrate Eo sensitivity of higher than 2 mJ/cm(2) under EUV exposure. PAG bonded resist which has LER of around 2.0 nm and 6.8 mu C/cm(2) are demonstrated. Furthermore, design concept of low outgassing resist is introduced.
  • Kim R. Dean, Iwao Nishiyama, Hiroaki Oizumi, Anthony Keen, Heidi Cao, Wang Yueh, Takeo Watanabe, Paolo Lacovig, Luca Rumiz, Greg Denbeaux, Julia Simon
    ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXIV 6519(PART 1) 6519P 2007年  査読有り
    Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to correlate outgassing measurements to witness plate experiments.
  • Yoshio Mizuta, Masafumi Osugi, Jyunki Kishimoto, Noriyuki Sakaya, Kazuhiro Hamamoto, Takeo Watanabe, Hiroo Kinoshita
    EMERGING LITHOGRAPHIC TECHNOLOGIES XI, PTS 1 AND 2 6517(PART 2) 651733 2007年  査読有り
    This paper is described about fabrication and evaluation of the beam splitter used in an EUV region. This beam splitter has to be as a free standing, stress control of multilayer is main subject. It is investigated that the dependence of the intrinsic stress between a RF (DC) sputtering power and an argon pressure during the thin film deposition processes. At the low argon pressure, molybdenum and silicon films showed both high compressive stress. However, at the high argon pressure, the molybdenum and silicon films showed low tensile stress and low compressive stress, respectively. Therefore, it was possible to fabricate a multilayer films with low tensile stress by optimizing the argon pressure and applied RF power during deposition. Conclusively, a free-standing semitrasparent multilayer film of 8x22 mm square area was fabricated. It shows high reflectance and transmission of near 25% at the wavelength of EUV region.
  • Takeo Watanabe, Hideo Hada, Yasuyuki Fukushima, Hideaki Shiotani, Hiroo Kinoshita, Hiroshi Komano
    SYNCHROTRON RADIATION INSTRUMENTATION, PTS 1 AND 2 879 1470 2007年  査読有り
    We succeeded in developing beneficial photoacid generator (PAG) based on onium salts for extreme ultraviolet lithography resist. The CA resist employing this beneficial PAG has E-0 sensitivity of 1.1 mJ/cm(2). We confirmed that the distinctive acid production reaction is occurred under EUV exposure in comparing under EB exposure. As results of the time dependent mass spectroscopy and the Fourier Transform Infrared Spectroscopy (FT-IR), it is confirmed that multiple acids are generated from cyclo(1,3-perfluoropropanedisulfone) imidate employed as an anion of PAG under EUV exposure.
  • Hiroo Kinoshita, Kazuhiro Haniamoto, Yuzuru Tanaka, Noriyuki Sakaya, Morio Hosoya, Tsutomu Shoki, Donggin Lee, Takeo Watanabe
    SYNCHROTRON RADIATION INSTRUMENTATION, PTS 1 AND 2 879 1478-1481 2007年  査読有り
    We constructed an EUV microscope (EUVM) for actinic mask inspection which consists of Schwarzschild optics (NA0.3, 30X) and an X-ray zooming tube. This system has been used to inspect both complete EUVL masks as well as plain Mo/Si-coated glass substrates. Based on imagery of a 250-nm width pattern, the resolution of the EUVM can be estimated to be 50 nm or less. The EUVM has also been used to inspect programmed bump phase defects in the EUV mask. Programmed phase defects with widths of 90 nm, 100 nm, 110 nm, a bump of 5 nm and a length of 400 in have been clearly observed. Moreover, the EUVM resolved programmed pit phase defects of 100 nm-wide and 2 nm in depth. The EUVM described here has enabled the of topological defects within a multilayer film. These results show that it is possible to image the internal reflectance distribution of a multilayer using an EUV microscope, without being dependent on surface figure.
  • Yuzuru Tanaka, Takeo Watanabe, Kazuhiro Hamamoto, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45(9A) 7163-7166 2006年9月  査読有り
    We have developed a focus detection system for an extreme ultraviolet (EUV) mask inspection system with a high numerical aperture (NA) of 0.3. This system operates in a vacuum environment and needs a high resolution for focus detection, because the depth of focus (DOF) of optics with 0.3 NA becomes +/- 100nm or less. The focus detection system of the glancingincidence type developed consists of a laser diode, a focusing lens, pinholes, and a dual-segmented photodiode. We achieved a focus sensor resolution of less than 20 nm. Furthermore, using our focus detection system, a vacuum pressure of 1 X 10(-5) Pa was achieved.
  • Kazuhiro Hamamoto, Yuzuru Tanaka, Takahiro Yoshizumi, Nobuyuki Hosokawa, Noriyuki Sakaya, Morio Hosoya, Tsutomu Shoki, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45(6B) 5378-5382 2006年6月  査読有り
    An aerial image mask inspection system for extreme ultraviolet lithography (EUVL) is developed. This system consists of microscopes using the same wavelength of light as is used for the exposure and produces a magnified image of defects on a mask. Using this microscope, amplitude defects on finished masks and phase defects on glass substrates are observed. A phase defect was formed by a multilayer coated on a line pattern with 5 nm high and 90 nm wide on a glass substrate. Although the defect detected is made beforehand, it is detected by reflection of the light which penetrated the multilayer. These results show that it is possible to detect the internal reflectivity distribution without depending on surface perturbations. We tried to observe "pit defects", but it was not possible to observe these at this time. The pit defects, such as scratches on glass substrates may not become defects depending on the process of formation of the multilayer.
  • Takeo Watanabe, Yasuyuki Fukushima, Hideaki Shiotani, Masamichi Hayakawa, Satoshi Ogi, Yusuke Endo, Tomotaka Yamanaka, Shinichi Yusa, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 19(4) 521-524 2006年  査読有り
    A novel resist system was designed and synthesized to reduce line edge roughness (LER) and increase sensitivity for a resist in extreme ultraviolet lithography (EUV) and electron beam (EB) lithography. A sulfonium salts group was supplied at side chain of base polymer system. The base polymer consists of copolymer of polyhydroxy styrene, solution inhibitor and monomer containing PAG as a backbone. Under EB exposure, a LER of 2.0 nm (3 sigma) is achieved using PAG bounded polymer. A LER of PAG bounded polymer is smaller than that of PAG blended polymer. Under EUV exposure, E-0 sensitivity of 0.9 mJ/cm(2) and a low outgassing characteristics are achieved.
  • Kazuhiro Hamamoto, Yuzuru Tanaka, Takahiro Yoshizumi, Yasuyuki Fukushima, Hideaki Shiotani, Noriyuki Sakaya, Morio Hosoya, Tsutomu Shoki, Takeo Watanabe, Hiroo Kinoshita
    EMERGING LITHOGRAPHIC TECHNOLOGIES X, PTS 1 AND 2 6151 615119 2006年  査読有り
    We constructed the EUV microscope (EUVM) for actinic mask inspection which consists of Schwarzschild optics (NA0.3, 30X) and X-ray zooming tube. Using this system, EUVL finished mask and Mo/Si glass substrates are inspected. EUVM image of 250 nm width pattern on 6025 Grass mask was clealy observed. Resolution can be estimated to be 50 nm or less from this pattern. The progammed phase defect on the glass substrate is also used for inspection. By using EUV microscope, programmed phase defect with a width of 90 nm, 100 nm, 110 nm, a bump of 5 nm and a length of 400 mu m can be observed finely. And the programmed phase defect of 100 nm-wide and 2 nm pit was also observed. Moreover, a programmed defect with a width of 500 nm is observed as two lines. This is because phase change produced with the edge of both sides of a programmed defect. Thus, in this research, observation of a program phase defect was advanced using the EUV microscope, and it succeeded in observation of the topological defect image inside a multilayer film. These results show that it is possible to catch internal reflectance distribution of multilayer under the EUV microscope, without being dependent on surface figure.
  • Takeo Watanabe, Hideo Hada, Hiroo Kinoshita, Yuzuru Tanaka, Hideaki Shiotani, Yasuyuki Fukushima, Hiroji Komano
    ADVANCES IN RESIST TECHNOLOGY AND PROCESSING XXIII, PTS 1 AND 2 6153 U1523-U1531 2006年  査読有り
    We succeed in developing beneficial photoacid generator (PAG) for EUV exposure. In a high annealing type resist system in which poly-hydroxystyrene employed as a base resin, we found that sulfonium salts which employed cyclo(1,3-perfluoropropanedisulfone) imidate employed as a anion of PAG is more sensitive than perfluorobutanesulfonate employed as an anion of PAG under extreme ultraviolet (EUV) exposure. However, the sensitivities were different under EUV and electron beam (EB) exposures. It indicates that the distinctive acid production reaction is occurred under EUV exposure in comparing under EB exposure. As results of the time dependency mass spectroscopy and the Founier Transform Infrared Spectroscopy (FT-IR), EUV induced reaction of cyclo(1,3-perfluoropropanedisulfone) imidate employed as an anion of PAG occurred more efficiently than that of perfluorobutanesulfanate employed as an anion of PAG.
  • K Hamamoto, Y Tanaka, SY Lee, N Hosokawa, N Sakaya, M Hosoya, T Shoki, T Watanabe, H Kinoshita
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 23(6) 2852-2855 2005年11月  査読有り
    A defect inspection technique on an extreme ultraviolet lithography mask is described. There are two kinds of defects, amplitude defects and phase defects due to the multilayer coating. The technique utilizes a microscope using the same 13.5 nm wavelength as the light used for exposure, and producing a magnified image of defects on a mask. Using this microscope, amplitude defects on practical masks and phase defects are observed. A phase defect was formed by a multilayer coated on a line pattern with a height of 5 mn and width of 90 nm on a glass substrate, Although the detected defect is made beforehand, it is detected by reflection of the light which penetrated inside of a multilayer. These results show that it is possible to detect the internal reflectivity distribution, without depending on surface perturbations. (c) 2005 American Vacuum Societ.y.
  • T Watanabe, H Hada, SY Lee, H Kinoshita, K Hamamoto, H Komano
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 44(7B) 5866-5870 2005年7月  査読有り
    In a high-annealing type resist system that employs polyhyodroxy styrene as a base resin, we found that tri-phenysulfonium cyclo(1,3-perfluoropropanedisulfone) imidate when employed as a photoacid generator (PAG) is more sensitive than triphenysulfonium nonallate under extreme ultraviolet (EUV) exposure. However, their sensitivities are almost the same under KrF and EB exposures. As results of both outgassing species and FT-IR measurements, the EUV-induced reaction of cyclo(1,3-perfluoropropanedisulfone) imidate employed as an anion of PAG occurred more efficiently than that of nonaflate employed as an anion of PAG. Therefore, the anion of PAG contributes to achieve a fast photospeed under EUV exposure. Furthermore, from the sensitivity curve measurements, it is found that tri-phenylsulfonium employed as a cation increases the developing rate more than diphenyl-naphthylsulfonium employed as a cation of PAG. As a result, we have succeeded in developing a fast photospeed chemically amplified resist that has a sensitivity of 1.1 mJ/cm(2) and a partial pressure displacement accumulated in the total exposure time between after and before exposures on the order of 10(-6) Pas.

MISC

 194

書籍等出版物

 27

講演・口頭発表等

 882

所属学協会

 11

Works(作品等)

 3

共同研究・競争的資金等の研究課題

 11

メディア報道

 46