研究者業績

渡邊 健夫

ワタナベ タケオ  (Takeo Watanabe)

基本情報

所属
兵庫県立大学 高度産業科学技術研究所 極端紫外線リソグラフィー研究開発センター 教授 (学長特別補佐(先端科学技術・異分野融合研究推進)、所長特別補佐、センター長)
学位
理学博士(1990年9月 大阪市立大学)

J-GLOBAL ID
200901004223661630
researchmap会員ID
1000214822

外部リンク

論文

 310
  • H Hada, T Hirayama, D Shiono, J Onodera, T Watanabe, SY Lee, H Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 44(7B) 5824-5828 2005年7月  査読有り
    We discuss the outgassing characteristics under extreme ultraviolet (EUV) exposure for a new resist system based on amorphous low-molecular-weight (Mw) polyphenols consisting of 4,4'-methylenebis[2-[di(2-methyl-4-hydroxy-5-cyclohexylphenyl)]methyl] phenol (3M6C-MBSA) compared with polyhydroxystyrene. (PHOST) The outgassing characteristics of the photoresist based on the PHOST resin were better than those of the photoresist based on 3M6C-MBSA as the base material. However, when the same polymer was reacted with a protecting group, the outgassing characteristics were reversed. The decomposition reaction mechanism under EUV exposure is discussed on the basis of results from both mass spectrometry and FT-IR measurements. The results indicate that the reactions of 3M6C-MBSA (Resist 13) and PHOST (Resist D) under EUV exposure have different mechanisms. It was confirmed that the decomposition of the molecular backbone is the main reaction for 3M6C-MBSA, whereas the decomposition of the protective group is the main reaction for PHOST.
  • T Watanabe, H Kinoshita, N Sakaya, T Shoki, SY Lee
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 44(7B) 5556-5559 2005年7月  査読有り
    A standard assessment system for resist development with extreme ultraviolet (EUV) light was developed. The system for the evaluation of an EUV resist was installed at the BL3 beamline in the NewSUBARU synchrotron radiation facility. This optical system simulates a six-mirror imaging system. Incident light is reflected seven times in the chamber. The centroid wavelength is 13.57 nm. The beam size at the sample surface is 4 x 4 mm(2) and we can take twelve shots for each sample by moving the sample in the lateral direction. Furthermore, a highly sensitive quadrupole mass spectrometer that can measure the mass numbers of ion species from I to 500 and is connected to a resist evaluation chamber is used for resist outgassing analysis under EUV irradiation. The main purpose of this whole system is to evaluate the basic physical and chemical properties of various EUV resists candidates, such as sensitivity and outgas characteristics.
  • Y Tanaka, K Hamamoto, H Tsubakino, T Watanabe, H Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 44(7B) 5547-5551 2005年7月  査読有り
    An extreme ultraviolet (EUV) lithography exposure system is operated in vacuum. During irradiation by EUV light, hydrocarbons were decomposed in vacuum and the surfaces of the imaging optics and mask, which were coated with a Mo/Si multilayer, became contaminated with organic compounds. Thus, the reflectivity and resolution of athe imaging optics and the mask were reduced. In this study, we examined the rate of outgassing and the species that desorb from the structural material, such as those of the wires, the driving motor and the detector in vasuum in vacuum. The rate of outgassing for metals was found to depend on surface roughness. Because the coatings of the wires are made from organic materials, they show the largest figure outgassing rate compared with the structural metal. Furthermore, most of the desorbed hydrocarbons, which are primary contaminants, come from the wires and other components rather than from the metals used for the stages, even though the metals have a large surface area.
  • N Hosokawa, T Watanabe, N Sakaya, T Shoki, K Hamamoto, H Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 44(7B) 5540-5543 2005年7月  査読有り
    The realization of a defect-free mask is a technical problem in extreme ultraviolet lithography (EUVL) technology, which is expected as a next-generation lithography technology. We are therefore proposing and developing the EUV phase-shift microscope for phase-defect inspection. The beam splitter is the key element in this microscope. In this paper, we describe the present situation on the development of the beam splitter. The most inportant issue in beam splitter development is controlling stress. By controlling At gas pressure, we controlled stress and thereby successfully developed the beam splitter.
  • K Hamamoto, Y Tanaka, H Kawashima, SY Lee, N Hosokawa, N Sakaya, M Hosoya, T Shoki, T Watanabe, H Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 44(7B) 5474-5478 2005年7月  査読有り
    This paper describes a mask defect inspection system using 13.5 nm light for extreme ultraviolet lithography (EUVL). The Schwarzschild optics which is employed as a microscope optics has a numerical aperture (NA) of 0.3 and a magnification of 30. Furthermore, it has a potential of detecting defects as small as 22 nm on a mask. In order to inspect defects with the phase change induced by swelling of the multilayer, a Mirau interferometer is employed. It is developed that the performance of the optical system, the focal position detection mechanism for image detection while scanning, and the driving mechanism of the reference mirror for the Mirau interference. Since the accuracy of the driving mechanism of the reference was found to be 0.1 nm, enough performance of the ring-shaped piezo actuator for interference measurement was confirmed. Moreover, examples of the mask inspection by this system are shown.
  • Kazuhiro Hamamoto, Yuzuru Tanaka, Nobuyuki Hosokawa, Noriyuki Sakaya, Morio Hosoya, Tsutomu Shoki, Takeo Watanabe, Hiroo Kinoshita
    Digest of Papers - Microprocesses and Nanotechnology 2005: 2005 International Microprocesses and Nanotechnology Conference 2005 82-83 2005年  
  • Yuzuru Tanaka, Kazuhiro Hamamoto, Takeo Watanabe, Hiroo Kinoshita
    Digest of Papers - Microprocesses and Nanotechnology 2005: 2005 International Microprocesses and Nanotechnology Conference 2005 102-103 2005年  
  • 田中弓弦, 木下博雄, 渡邊健夫, 劉 莉, 椿野晴繁
    軽金属 55(9) 412-413 2005年  査読有り
  • 格内 敏, 木下博雄, 渡邊健夫, 坂本 亨
    精密工学会誌 71(8) 1031-1035 2005年  査読有り
    光ファイバー端面から放射される理想的な球面波を用いる点回折干渉と縞走査により, 球面ミラーの超精密測定を可能とした. 本干渉計はセンサー部がファイバー端面のためにフレキシブルに移動でき, EUV光学系の調整等にも利用可能である. 本研究は, 光源のコヒーレント長に伴う誤差要因が測定精度に及ぼす影響について, 実験とシミュレーション解析を行い, 測定精度の向上に必要な実験条件を明らかにした.
  • H Hada, T Watanabe, H Kinoshita, H Komano
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 18(4) 475-480 2005年  査読有り
    We found that tri-phenysulfonium cyclo(1,3-perfluoropropanedisulfone) imidate (TPS-Imidate) as a photo acid generator (PAG) is more sensitive rather than tri-phenysulfonium perfluorobutanesulfonate (TPS-PFBS) by EUV exposure. In this paper, we discussed the outgassing characteristics of our discovered the new PAG resist system to better understand the detailed mechanism for obtaining a high sensitivity. As for resist B which employs TPS-PFBS as the PAG was measured larger amount of isobutene (m/z 56) and benzene (m/z 78) than that of resist A. As for resist C which employs TPS-Imidate as a PAG the amount of benzene was larger than that of resist B. It suggests that resist C shows faster sensitivity due to the high amount of acid generated by EUV exposure. In addition, resist C contains PAG anion of imidate derivatives, which carried out distinctive photolysis reactions under EUV exposure. This reaction will be expected to generate many acidic species, which has the potential of becoming a catalyst for the de-protecting reaction. This mechanism is very useful for the resist design to obtain a high sensitivity EUV resist.
  • K Hamamoto, Y Tanaka, T Watanabe, N Sakaya, M Hosoya, T Shoki, H Hada, N Hishinuma, H Sugahara, H Kinoshita
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 23(1) 247-251 2005年1月  査読有り
    Under extreme ultraviolet (EUV) exposure, the surfaces of the, imaging optics and mask, which are coated with a Mo/Si multilayer, become contaminated with organic compounds. Thus, an efficient method of removing carbon contamination from masks and the imaging optics is required. Then, we propose two methods as a removal method of contamination: one is in situ cleaning method without heating a sample by synchrotron radiation irradiation that is mainly targeted at the imaging optics, and another is cleaning method without heating a sample using by 172 nm light irradiation that is targeted at an EUV lithography finished mask. For in situ cleaning so called online cleaning, the contamination removal rate is 0.24 nm/min in the condition of O-2-rich-vacuum environment at a pressure of 5.0x10(-2) Pa and an electron beam current of 130 mA of 1.0. GeV electron storage ring. For offline cleaning using 172 nm light, the contamination removal rate is 2 nm/min in the O-2-rich-vacuum environment at the pressure of 2x10(-3) Pa. Both two methods restore the reflectivity of a Mo/Si multilayer to its original level without causing any surface damage. The effectiveness of both in situ and offline contamination removal are confirmed. (C) 2005 American Vacuum Society.
  • IY Kang, YC Chung, J Ahn, HK Oh, T Watanabe, H Kinoshita
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 22(6) 2984-2986 2004年11月  査読有り
    The pattern printability of the Ru/Mo/Si system was quantitatively investigated by two successive schemes, reflectivity of the mask, and aerial image intensity transferred through the system. The reflectivity of a Ru/Mo/Si reflector was calculated and compared with the value of Mo/Si reflector for various incident angles (0degrees-5degrees) using Fresnel equation. In order to verify angular dependency of aerial image intensity in a Ru/Mo/Si reflector, we employed SOLID-EUV, which is capable of rigorous electromagnetic field computation. In the calculation, 100 nm line and space pattern was generated by 2D mask geometry with perfect absorber of opaque material. Through the investigation of the angular dependency on the pattern printability of Ru/Mo/Si and Mo/Si reflectors, we could suggest the optimal reflector system for specific condition of incident angle, i.e., Ru/Mo/Si system for less than or similar to3degrees and Mo/Si system for 4degrees for maximizing optical performance of the EUVL system. (C) 2004 American Vacuum Society.
  • T Watanabe, K Hamamoto, H Kinoshita, H Hada, H Komano
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 43(6B) 3713-3717 2004年6月  査読有り
    Extreme ultraviolet (EUV) lithography requires a vacuum environment for exposure. The hydrocarbons outgassing ion species affect the reflectivity of the mask and the imaging mirror under EUV irradiation. Concerning the high-annealing-type chemically amplified (CA) resist based on the polyhydroxy styrene (PHS) resin, it is confirmed that propylene glycol monomethylether (PGME) which is employed as a solvent has the lowest outgassing characteristics under EUV irradiation. Mitigation of the hydrocarbons outgassing species and line edge roughness (LER) requires the main-chain-decomposition-type CA resist. From the outgassing measurement results, the methacrylate base resin can be employed in the resist process in EUV lithography.
  • Takeo Watanabe, Hideo Hada, Seung Yoon Lee, Hiroo Kinoshita, Kazuhiro Hamamoto, Hiroshi Komano
    Digest of Papers - Microprocesses and Nanotechnology 2004 194-195 2004年  
    In EUV lithography, the requirement of the resist sensitivity is less than 2 mJ/cm2, which gives the large relaxation to the requirement of the EUV light source power. Furthermore, the line width roughness (LWR) of less than 2 nm (3 sigma) and outgassing total fragment pressure of less than 10~6 Pa during the exposure time are required. We succeed in developing fast photospeed chemically amplified resist which has the sensitivity of 1.1 mJ/cm2 and partial pressure displacement of in the order of 10-6 Pa during the total exposure time. By using novel evaluation system as shown in Fig. 1 for EUVL resist which is simulating 6-imaging-mirror system, the fundamental resist characteristics such as sensitivity curve, outgassing characteristics, and surface roughness, are measured for the chemically amplified resist for EUV lithography. High sensitive quadruple mass spectrometer is utilized for the outgassing ion species from the resist under EUV irradiation. The high-annealing-type chemically amplified (CA) resists based on the polyhydroxy styrene (PHS) resin which employs sulfonium salts as photo-acid generator (PAG) are evaluated. The mitigation of the development for the fast photospeed resist is discussed based on our experimental results. Furthermore, decomposition reaction of PAG under EUV irradiation is discussed based on the results of both outgassing species and FT-IR measurement results.
  • Yuzuru Tanaka, Kazuhiro Hamamoto, Harushige Tsubakino, Takeo Watanabe, Hiroo Kinoshita
    Digest of Papers - Microprocesses and Nanotechnology 2004 94-95 2004年  
  • Hideo Hada, Taku Hirayama, Daiju Shiono, Junichi Onodera, Takeo Watanabe, Seung Yoon Lee, Hiroo Kinoshita
    Digest of Papers - Microprocesses and Nanotechnology 2004 234-235 2004年  
  • Nobuyuki Hosokawa, Takeo Watanabe, Noriyuki Sakaya, Tsutomu Shoki, Kazuhiro Hamamoto, Hiroo Kinoshita
    Digest of Papers - Microprocesses and Nanotechnology 2004 92-93 2004年  
    Extreme ultraviolet lithography (EUVL) is mostly expected technology for next-generation lithography, and developed for solution in volume semiconductor manufacturing at feature sizes below 32nm. Many specialists predict utilization of EUVL around 2009. Various technical subjects are studied for utilization of EUVL technology. One of them is by defect-free mask for EUVL. There are two kinds of defects. One is the amplitude defect, for which reflection is prevented by the particle on the surface of a mask, and another is the phase defect, for which a phase-shift produced in a reflected wave by the particle embedded under the multilayer. Especially, it is difficult to detect the phase defect in a general methods. Therefore, we propose an EUV phase-shift microscope. The EUV phase-shift microscope consists of the optics shown in Fig. 1 (a). One of key component of them is beamsplitter. Our purpose in this study is developing beamsplitter and installing it in an EUV phase-shift microscope. Specification of a beamsplitter is shown in Table 1 and the structure is shown in Fig.1 (b). Figure2 shows the reflectance measurement results of reference mirror (a) and beamsplitter (b). The reflectivities of reference mirror and beamsplitter were 64% and 32% respectively. In this paper, we describe the development actualities of the beamsplitter which implements the above-mentioned performance.
  • Seung Yoon Lee, Takeo Watanabe, Hiroo Kinoshita, Noriyuki Sakaya, Tsutomu Shoki
    Digest of Papers - Microprocesses and Nanotechnology 2004 290-291 2004年  
    A novel system for evaluation of EUV resist was installed at BL3 beamline in NewSUBARU synchrotron radiation facility. This system consists of an optics chamber, an exposure chamber and a sample loadlock chamber. Each chamber has a vacuum system of turbo molecular pump and scroll pump. In the exposure chamber, a high sensitive quadruple mass spectrometer (Model HAL/3F/PIC 501 RC, HIDEN ANALYTICAL Ltd.) which can measure mass number from 1 to 500 of ion species, is used for resist outgassing analysis under EUV irradiation. The main purpose of this whole system is to evaluate the basic physical and chemical properties of various EUV resists candidates, such as sensitivity, outgas characteristics, and internal chemical behavior. This optical system simulates a 6-mirror imaging system. In the optics chamber, we installed one concave Mo/Si multilayer mirror and two plane Mo/Si multilayer mirrors, looking each other in parallel direction. Incident light is reflected total 7 times, such as one time reflection by concave and six times reflection by plane conjugate mirrors in normal angle before it strikes the sample surface. The total reflectivity spectrum is shown in Fig. 3. The centeroid wavelength is 13.57 nm. The beam size at the sample surface is 4 × 4 mm and we can take five shots for each sample by means of moving the sample in lateral direction. Exposure dose is controlled by the open time of shutter located on the upstream of the optics chamber. This system is very powerful tool to obtain exposure characteristics. Detailed specification and performance of the system will be discussed in the presentation.
  • K Hamamoto, Y Tanaka, T Watanabe, N Sakaya, M Hosoya, T Shoki, H Sugahara, N Hishinuma, H Hada, H Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 17(3) 367-372 2004年  査読有り
    Under EUV radiation, the surfaces of the imaging optics and mask, which are coated with a Mo/Si multilayer, become contaminated with organic compounds. This study examined the rate of outgassing and the species that desorb from materials used in vacuum equipment. The rate of outgassing for metals was found to depend on surface roughness. And most of the desorbed hydrocarbons, which are a primary contaminant, come from the wiring and some other components rather than from the metals used for the stages, even though the metals have a large surface area. Furthermore, since an efficient way of removing carbon contamination from masks and the imaging optics is required, a method of cleaning finished EUVL masks with 172-nm irradiation was investigated. This method restores the reflectivity of a Mo/Si multilayer to its original level, and removes contaminants at the rate of 2 nm/min in a low-pressure environment, which in this study was an initial backpressure in the chamber of 500 Pa provided by a scroll pump, and an O(2) flow to maintain the pressure at 2 x 10(3) Pa. Irradiation with 172-nm light in a low-pressure environment was found to be an effective way to clean masks.
  • T Watanabe, H Kinoshita, K Hamamoto, H Hada, H Komano
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 17(3) 361-366 2004年  査読有り
    Carbon contamination which is due to the hydrocarbons reduces the reflectivity of Mo/Si multi layer-mirror and affects the resolution of the mask in EUVL vacuum exposure. For the high-annealing-type chemically amplified. (CA) resist based on the polyhydroxy styrene (PHS) resin, resists which contains PGME, MAK and MMP as a solvent have lower outgassing characteristics under EUV irradiation. This characteristics is own to the oxygen contents and the chemical structure of the solvents. The high-annealing-type CA resist which employs PGME as solvent has lowest outgassing species under EUV irradiation. To mitigation of the small line edge roughness (LER), the main-decomposition-reaction CA resist is one of the candidates. From the outgassing measurement, hydrocarbons partial pressure of CA resist based on the methacrylate under EUV irradiation is in the order of 10(-8), which is a littler bit higher than that of high annealing type resist based on polyhydroxy styrene (PHS) resin. Methacrylate resist has a capability to be employed in EUVL to reduce the LER..
  • H Hada, T Watanabe, K Hamamoto, H Kinoshita, H Komano
    EMERGING LITHOGRAPHIC TECHNOLOGIES VIII 5374(PART 2) 686-694 2004年  査読有り
    Extreme ultraviolet (EUV) lithography requires a vacuum environment: for exposure. Therefore the understanding of the outgassing hydrocarbon ion species of the photoresist becomes important. Contamination due to the hydrocarbons affects the optics of the EUV tool such as the reflectivity of the mask and the imaging mirror. We discuss here of the outgassing dependence of resist polymer structure and solvent type under the EUV irradiation. The resist components require photochemical stability and low evaporating species for EUV lithography. The Methacryl backboned polymer has indicated larger outgassing value rather than PHS backboned polymer, which due to the quaternary carbon induced de-polymerization reaction. The main reaction is seemed to be due to the ester structure decomposed reaction. The selection of the basic polymer structure, ester ratio in the backbone and protecting group are very important for a low outgassing resist design. Our results show resist which contain PGME, MAK, and MMP as the solvent, have lower outgassing characteristics under the EUV irradiation. This characteristic is own to the low residual solvent content in resist film prior to the EUV irradiation. As for results, the high annealing type CA resist based on the PHS polymer and PGME solvent have the lowest outgassing characteristics under the EUV irradiation.
  • H Kinoshita, T Haga, K Hamamoto, S Takada, N Kazui, S Kakunai, H Tsubakino, T Shoki, M Endo, T Watanabe
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 22(1) 264-267 2004年1月  査読有り
    A new actinic mask inspection system has been developed, and simulations were carried out on its imaging performance. Preliminary experiments using the extreme ultraviolet (EUV) microscope developed at NTT have shown that it can resolve 250- and 350-nm-wide lines (on a mask). Furthermore, the type of absorber material used in a mask was found to have some effect on the contrast of mask images taken by EUVM. Our results demonstrate that an at-wavelength microscope is a powerful and useful tool for evaluating mask fabrication processes for EUVL. (C) 2004 American Vacuum Society.
  • Satoshi Kakunai, Hiroo Kinoshita, Takeo Watanabe, Tohru Sakamoto
    Seimitsu Kogaku Kaishi/Journal of the Japan Society for Precision Engineering 69(8) 1170-1175 2003年8月  
    Recently, the technological innovation using the extreme ultraviolet wavelength with high brightness of synchrotron radiation is actively advanced. In order to effectively utilize such light, it is important to develop the technology that produces and evaluates the optical element with surface accuracy over the 2 digits compared to conventional visible light. In the conventional interferometer, the aberration between the test surface and the standard reference surface is measured. Therefore, the accuracy of standard surface determines the measurement accuracy. To achieve the absolute measurement accuracy, it is necessary to develop a new interferometer that has inherent very high accuracy. The point diffraction interferometer is based on diffraction, which permits the generation of a perfect spherical wave front by using a circular aperture with a radius comparable to the wavelength of light. The purpose of this study is to examine point diffraction interference system for the profile measurement sensor, which can be also utilized for alignment of the EUV optical system. The optical system is consisted of single-mode optical fiber and the fringe scanning using the laser source of visible light. In this report, the effect of arrangement of optical system, sphericity of wave front, surface quality of optical fiber end surface and diffraction noise of imaging lens system etc., on measurement error was mainly examined.
  • T Haga, H Kinoshita, K Hamamoto, S Takada, N Kazui, S Kakunai, H Tsubakino, T Watanabe
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 42(6B) 3771-3775 2003年6月  査読有り
    Low-defect-density mask blanks are one of the key technological requirements for successful extreme ultraviolet lithography (EUVL). We have developed an EUVL experimental exposure system and evaluated the characteristics of the mask in the exposure area of 10 mm x 2 mm. These imaging patterns are replicated as a result of the total performance of imaging optics aberration, resist process, and illumination condition. Furthermore, as the feature size continues become smaller, close to 50 nm or 35 nm nodes, it is becoming more difficult to determine the quality of the mask only from the replicated results. Therefore, we have proposed an at-wavelength mask inspection system based on the EUV microscope, which is the best way to observe the mask directly. Meanwhile, an EUV Mirau interferometric microscope has been developed at NTT, which has the advantage of direct observation of a finished mask at the wavelength of 13 nm. Using this system, preliminary experiments to examine the resolution of the EUV microscope were carried out. Until now, the Cr absorber pattern of a 250 nm line width corresponding to 50 nm on a wafer and 750 nm hole patterns on a mask could be clearly observed. Furthermore, as an example of defect observation, this system could find mask defects, which are due to insufficient etching of the buffer layer in mask fabrication. We confirmed the EUV microscope is a useful tool for evaluating a finished EUVL mask.
  • T. Watanabe, K. Hamamoto, H. Kinoshita, H. Hada, H. Komano
    Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003 288-289 2003年  査読有り
    In this article explain the importance of outgassing and its characteristics in EUVL. Here discuss the decomposition reaction of the resist in EUV irradiation.
  • Kazuhiro Hamamoto, Takeo Watanabe, Nonyuki Sakaya, Mono Hosoya, Tsutomu Shoki, Hideo Hada, Nobuyuki Hishinuma, Hiroshi Sugawara, Hiroo Kinoshita
    Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003 280-281 2003年  査読有り
    In this paper, we describes the cleaning of masks 172-nm excimer VUV radiation, which is more efficient for masks than the others.
  • 格内 敏, 木下博雄, 渡邊健夫, 坂本 亨
    精密工学会誌 69(8) 1170-1172 2003年  査読有り
  • T Watanabe, T Haga, T Shoki, K Hamamoto, S Takada, N Kazui, S Kakunai, H Tsubakino, H Kinoshita
    PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY X 5130 1005-1013 2003年  査読有り
    It is proposed that at-wavelength EUV mask inspection system based on EUV microscope, which is the best way to observe the mask directly. Using this system, preliminary experiments to examine the pattern inspection of EUVL mask is carried out. EUV microscope has a capability to resolve 50 nm lithographic node finished EUVL mask. We confirmed that at-wavelength microscope rather than SEM is both powerful and useful for evaluating the mask fabrication process for EUVL. Furthermore, it is find out that the contrast of the mask images observed by EUVM influenced by the absorber material. As the result, important information of the finished EUVL mask can be obtained utilizing EUVM, which is very important tool for the finished EUVL mask inspection.
  • K Hamamoto, S Takada, T Watanabe, N Sakaya, T Shoki, M Hosoya, H Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 16(3) 395-399 2003年  査読有り
    During extreme ultraviolet lithography, the surfaces of the imaging optics and mask become contaminated with organic compounds. As more and more contaminants are deposited on a Mo/Si multilayer, the reflectivity and exposure intensity become lower, and the resolution of the imaging optics is degraded. A novel in-situ method of removing contaminants without heating has been developed. In an O-2 atmosphere at a pressure of 5.0 x 10(-2) Pa and at an electron beam current of the synchrotron storage ring of 150 mA, it removes a 0.1-mum-thick layer of contamination in 7 hours. The removal of the contamination restores the reflectivity of a Mo/Si multilayer without causing any surface damage.
  • Hiroo Kinoshita, Takeo Watanabe, Kazuhiro Hamamoto, Harushige Tsubakino
    Zairyo/Journal of the Society of Materials Science, Japan 51(9) 999-1004 2002年9月  
    A three-aspherical-mirror system for Extreme Ultraviolet Lithography has been developed. The aspherical mirrors were fabricated using the computer controlled optical surfacing (CCOS) process and a phase shift interferometer. The mirrors have figure errors of 0.58 nm and surface roughness of 0.3 nm. In order to obtain a high efficiency mirror, M1 and M2 were coated with a graded d-spacing Mo/Si multilayer and M3 was coated with a uniform d-spacing Mo/Si multilayer. The peak reflectivity was 65% at the wavelength of 13.5 nm. The wavelength matching of each mirror spans 0.45 nm. The mirrors were aligned with a Fizeau-type phase shift interferometer, and a final wavefront error of less than 2nm was achieved. Using this system, exposure experiments were performed. 60 nm L & S patterns on the exposure area of 10 mm × 10 mm were achieved.
  • T Watanabe, H Kinoshita, K Hamamoto, M Hosoya, T Shoki, H Hada, H Komano, S Okazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 41(6B) 4105-4110 2002年6月  査読有り
    The engineering test stand ETS-1 three-aspherical-mirror imaging system has been developed. In the fine pattern replication using a Cr mask in static exposure. the resist pattern is replicated in the exposure area of 10 min x 2 mm with the line and space pattern width of 60 nm, the isolated line pattern width of 40 urn, and the hole pattern width of 150 nm. For the scanning exposure, the resist pattern is replicated with the line and space pattern width of 60 nm in an exposure area of 10 mm x 10 mm. We have also constructed a multilayer reflectivity measurement system at the BL10 beamline of the NewSUBARU facility. The full field of ULE6025 mask reflectivity can be measured. Furthermore, the low-outgassing chemically amplified resist EUV010 has been developed based on KrF chemically amplified resist.
  • H. Kinoshita, T. Haga, K. Hamamoto, S. Takada, N. Kazui, S. Kakunai, H. Tsubakino, T. Watanabe
    2002 International Microprocesses and Nanotechnology Conference, MNC 2002 64-65 2002年  査読有り
    We have developed an EUVL experimental exposure system and demonstrated fine pattern replication less than 60 nm. Using this system, we have evaluated the characteristics of chemical amplitude resists. Also a series of studies for evaluating different type of masks has been carried out to establish mask process, e.g. selection of absorber or buffer material, etching conditions and so on.
  • 木下博雄, 渡邊健夫, 浜本和宏, 椿野晴繁
    材料 51(9) 999-1004 2002年  査読有り
  • 木下博雄, 渡邊健夫, 浜本和宏, 椿野晴繁
    光学 31(7) 524-531 2002年  査読有り
  • K. Hamamoto, T. Watanabe, H. Hada, H. Komano, S. Kishimura, S. Okazaki, H. Kinoshita
    Proc. SPIE 4688(2) 664-671 2002年  査読有り
  • K Hamamoto, T Watanabe, H Hada, H Komano, H Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 15(3) 361-366 2002年  査読有り
    According to the synchronous scanning of the mask and wafer with EUVL laboratory tool (ETS-1) with reduction optical system which consisted of three-aspherical-mirror in the NewSUBARU facilities succeeded in the line of 60 nm and the space pattern formation in the exposure region of 10 mm x 10 mm. Comparing the result of exposure characteristics for positive-tone resist for KrF and EB, KrF chemically amplified resist has better characteristics than EB chemically amplified resist. The development of suitable resist for EUVL that the resolution performance and sensitivity are good, and the amount of outgassing is low will be advanced based on KrF resist.
  • T Watanabe, H Kinoshita, H Nii, K Hamamoto, H Tsubakino, H Hada, H Komano, S Irie
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 19(3) 736-742 2001年5月  査読有り
    Extreme ultraviolet lithography (EUVL) requires the vacuum environment for exposing the resist. The contamination in the vacuum environment decreases the reflectivity of the reflective mask and that of the imaging optics. The:photoinduced outgassing from the resist becomes the contamination in the vacuum environment. Therefore, the outgassing detection investigation is very important; The outgassing from the chemically amplified (CA) resists EUV001 for EUVL, EUV006N for EUVL, UV5 for KrF lithography and the nonchemically amplified resists OEBR2000 and ZEP520 for electron beam lithography were investigated. Based on the photoinduced reactions of the resist, the fragment ions species that were measured by the quadrupole mass spectrometer were identified. It is found that the amount of the photoinduced outgassing such as hydrocarbons from the DQN resist and annealing-type CA positive-tone resist is small. (C) 2001 American Vacuum Society.
  • Y Gomei, K Sugisaki, YC Zhu, M Niibe, T Watanabe, H Kinoshita
    SOFT X-RAY AND EUV IMAGING SYSTEMS II 4506 39-45 2001年  査読有り
    Extreme-ultraviolet phase-shifting point diffraction interferometer (PS/PDI) was studied by using the NewSUBARU undulator radiation. The wave-front error of a Schwarzschild test optics was measured. Since this is a common path PDI technique, optics pre-alignment is very important to receive enough power at the second pinhole. We carried out this pre-alignment by using the same common path PS/PDI system but by using a He-Ne laser. A temporal wave-front error attained by pre-alignment was 4.4 nm rms. We then studied band width requirement to carry out this PS/PDI in EUV. We found that the wavelength (.) dependency of grating diffraction angle plays an important role in phase matching at the CCD camera location, although significant optical path difference exists at the edge of the fringe field. A I mum square double window experiment was carried out with lambda/Deltalambdacongruent to30, and straight fringes were observed throughout the CCD field. A PDI experiment using larger pinholes compared with nominal sizes was also conducted, and various factors, which were posed onto the experimental results, were investigated.
  • H Nii, H Kinoshita, T Watanabe, Y Matsuo, Y Sugie
    PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY VIII 4409 687-694 2001年  査読有り
    In the present ULSI cleaning processes, a photoresist is usually stripped by a combination of dry ashing by ozone (O-3) with wet process of the piranha cleaning. The effects of cleaning process' the mask for the extreme ultraviolet lithography (EUVL) are discussed in these dry and wet processes. In dry ashing by O-3, the virtual EUVL mask adhered organic contamination is tested. After removing the contamination using a dry ashing by O-3, the surface roughness of the mask is decreased from 0.54 nm (rms) to 0.42 nm (rms). Also, the periodic structure of the mask is confirmed. The dry ashing by O-3 is effective for removing a contamination of EUVL mask. In wet process, the piranha cleaning and typical RCA wet cleaning are employed at Mo/Si multilayer. The periodic structure of Mo/Si multilayer did not changed after Piranha and RCA cleaning except FH solution in dipping long time. We confirmed the Mo/Si miltilayer have enough tolerance to the Piranha and RCA cleaning.
  • H Nii, H Kinoshita, T Watanabe, K Hamamoto, H Tsubakino, Y Sugie
    PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY VIII 4409 681-686 2001年  査読有り
    Recently, extreme ultraviolet lithography (EUVL) becomes to be a candidate for the next generation lithographic technology from 70 nm down to 35nm. In this technology, multilayer films coating on the thick glass substrate is used. We have proposed the Cr absober mask for EUVL using wet process. Cr absorber has been generally used as photomask, and been also supposed to be a promising material as absorber for EUVL mask because of its both high extreme ultraviolet (EUV) contrast and excellent chemical durability. The mask absorber pattern typically including the patterns of 0.35-mum-width lines and spaces (L&S) has been completely fabricated without degradation of the multilayer. Furthermore, the fine patterns width of less than 0.15 mum have been successfully fabricated in the entire mask area of the 4-inch-diameter wafer. The EUVL mask has been evaluated with the EUVL laboratory tools at the beamline BL3 at the NewSUBARU synchrotron radiation facility. Line and spaces pattern width of less than 0.1 mum are clearly replicated and isolated lines pattern width of 40 nm are also replicated. We confirmed that the mask absoreber pattern fabrication process using a wet process is useful for EUVL mask.
  • Kazuhiro Hamamoto, Takeo Watanabe, Harushige Tsubakino, Hiroo Kinoshita, Tsutomu Shoki, Morio Hosoya
    Journal of Photopolymer Science and Technology 14(4) 567-572 2001年  査読有り
    Fine pattern replication utilizing 3-aspherical mirror system settled on NewSUBARU beamline is described. The exposure system is composed of three aspherical mirrors, and the NA is 0.1. The diffraction limited resolution of 60 nm was exposed on exposure area of 10 mm × 2 mm. The Cr mask pattern fabricated by the wet etching method was used for the extreme ultraviolet lithography mask. The pattern of 100 nm or less can be formed by with this Cr mask, and using photoresist of ZEP520 and SAL601. The line and space pattern width of 60 nm was fabricated with ZEP520. In addition, it has been understood that the isolation line of 43 nm width was replicated. Furthermore the hole pattern of 150 nm was replicated.
  • Takeo Watanabe, Kazuhiro Hamamoto, Hiroo Kinoshita, Harushige Tsubakino, Hideo Hada, Hiroshi Komano, Masayuki Endo, Masaru Sasago
    Journal of Photopolymer Science and Technology 14(4) 555-560 2001年  査読有り
    Extreme ultraviolet (EUV) lithography requires the vacuum environment for the exposure. The hydrocarbons outgassing ions species affects the reflectivity of the mask and the imaging mirror under EUV irradiation. The photo-induced outgassing was investigated for both the non-chemically amplified resists and the chemically amplified resists which can be used for EUVL. We found out the chemically amplified positive tone resist which has low outgassing characteristics under the EUV irradiation. Furthermore, it is found that the photodecomposition occurred under EUV irradiation from the resist outgassing investigation.
  • S. Hashimoto, A. Ando, S. Amano, Y. Haruyama, T. Hattori, J. Kanda, H. Kinoshita, S. Matsui, H. Mekaru, S. Miyamoto, T. Mochizuki, M. Niibe, Y. Shoji, Y. Utsumi, T. Watanabe, H. Tsubakino
    Trans. Materials Research Soc. Japan 26 783-786 2001年  査読有り
  • M Niibe, T Watanabe, H Nii, T Tanaka, H Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 39(12B) 6815-6818 2000年12月  査読有り
    We chose Cr and Ta metals as new absorbing materials for extreme ultraviolet lithography (EUVL) reflection masks. The transmittances of EUV light for these metals were calculated to be nearly equal to those for W metal at the wavelength of 13.5 nm. Reflection masks were successfully fabricated from Ta metal films by dry-etching and from Cr metal films by the lift-off technique. The mask contrast was measured using an EUV reflectometer system with a synchrotron radiation source. For the Cr absorber mask, measured peak reflectivities at the reflecting and the absorbing part of the processed patterns were 59% and 4.9%, respectively, and the contrast was about 12. The peak reflectivities at the reflecting and absorbing parts of the processed patterns for the Ta absorber mask were 50% and 0.48%, respectively, and the contrast was about 105. The masks, prepared about one year before the reflectivity measurements and stored in air, exhibited no significant degradation of reflectivity or surface quality. However, a 4% reduction in reflectivity was observed after two years' storage in air, even though the top layer of the Mo/Si multilayer for the masks was Si, which has been reported to prevent oxidation.
  • H Kinoshita, T Watanabe
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 39(12B) 6771-6776 2000年12月  査読有り
    We have designed three-aspherical-minor optics that meets the specifications for 0.1 mum generation lithography, and are developing an extreme ultraviolet lithography (EUVL) laboratory tool suitable for device fabrication experiments. It operates at a wavelength of 13.5 nm and employs a three-mirror imaging system with a numerical aperture of 0.1. It is capable of replicating 65 nm patterns in an exposure field of 30 mm x 1 mm size. First, single-layer chemically amplified resists are investigated using the synchrotron radiation (SR) source of New SUBARU. From the sensitivity curve, it was found that the positive-tone resist DP603 and the negative-tone resist SAL601 have high gamma values and high sensitivities to the extreme ultraviolet exposure wavelength. Furthermore, exposure experiments using the three-aspherical mirror imaging system were performed. A minimum line width of 56 nm was demonstrated in an exposure area of 10 mm x 1 mm. We confirmed that the three-aspherical mirror imaging system is useful for developing EUVL technology.
  • T Watanabe, H Kinoshita, H Nii, Y Li, K Hamamoto, T Oshino, K Sugisaki, K Murakami, S Irie, S Shirayone, Y Gomei, S Okazaki
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 18(6) 2905-2910 2000年11月  査読有り
    We have developed a three-aspherical mirror system which is capable of replicating in a large exposure area (30 mmX28 mm). This system consists of the synchronized scanning mechanism of a mask and a wafer, the alignment optics between a mask and a wafer, the focus detector of a wafer position, and the load-lock chamber for exchanging wafers. The aspherical mirrors have a figure error of 0.58 nm and a surface roughness of 0.3 nm. To obtain a high efficiency mirror, a couple of mirrors were coated with a graded d spacing Mo/Si multilayer. The peak reflectivity is 65% at the wavelength of 13.5 nm. The wavelength matching of each mirror spans 0.45 nm. The mirrors were aligned with a Fizeau-type phase shift interferometer, and a final wave front error of less than 3 nm was achieved. Exposure experiments carried out at NewSUBARU synchrotron facility and a diffraction limited resolution of 56 nm was obtained in an exposure-field size of 10 mmX2 mm in static exposure. Furthermore, fine patterns in an area of 10 mmX5.2 mm were obtained using the mask and wafer synchronized scanning stages. These results revealed that this system can be applied to fabricate large scale integrated devices. (C) 2000 American Vacuum Society. [S0734-211X(00)06006-6].
  • YQ Li, H Kinoshita, T Watanabe, S Irie, S Shirayone, S Okazaki
    APPLIED OPTICS 39(19) 3253-3260 2000年7月  査読有り
    A scanning critical illumination system is designed to couple a synchrotron radiation source to a three-aspherical-mirror imaging system for extreme ultraviolet lithography. A static illumination area of H x V = 8 mm x 3 mm (where H is horizontal and Vis vertical) can be obtained. Uniform intensity distribution and a large ring field of H x V = 150 mm x 3 mm can be achieved by scanning of the mirror of the condenser. The coherence factor (sigma) of this illumination system is similar to 0.6, with the same beam divergence in both the horizontal and the vertical directions. We describe the performance of the imaging optics at sigma = 0.6 to confirm that the illumination optics can meet the requirements for three-aspherical-mirror imaging optics with a feature size of 0.06 mu m. (C) 2000 Optical Society of America OCIS codes: 220.3740, 220.4830, 150.2950.
  • S Mori, S Shirayone, S Irie, N Matsuzawa, H Oizumi, E Yano, S Okazaki, A Miyafuji, T Watanabe, H Kinoshita, T Oshio, K Sugisaki
    MICROELECTRONIC ENGINEERING 53(1-4) 689-692 2000年6月  査読有り
    This paper predicts the resolution of EUV lithography by using wet and dry developable resist process. We demonstrated that there is a possibility of the wet-develop type single layer resist whose type is positive-tone or negative-tone CA resist. The resist-film thickness is 200-400 nm. An exposure light (13.5 nm) reached at the bottom of resist film and the resists were reacted sufficiently by chemically amplification. We also showed that the EUV lithography process (13.5 nm, NA = 0.1) with the dry development prossess has the capability to resolve 50 nm L/S pattern. We can confirm that the space pattern of 50 nm can be resolved by dry-development.
  • H. Kinoshita, T. Watanabe
    Digest of Papers - 2000 International Microprocesses and Nanotechnology Conference, MNC 2000 282 2000年  査読有り
    At the Himeji Institute of Technology (HIT) the EUVL Laboratory Tool which operates at the wavelength of 13.5 nm with the 0.1 numerical aperture by using 3-mirrors imaging system has been completed. In order to be competitive with other NGL technologies of EUV lithography tool should demonstrate the 70 nm pattern in the large exposure field, uniformity and CD controllability of the entitle exposure area, mask and wafer alignment accuracy, and throughput rapidly. This paper will describes results of some exposure experiments using the 3-aspherical EUVL tool installed in SR facility of NewSUBARU and present the future prospects of EUVL.
  • M Niibe, T Watanabe, H Nii, T Tanaka, H Kinoshita
    MICROPROCESSES AND NANOTECHNOLOGY 2000, DIGEST OF PAPERS 288-289 2000年  査読有り
    We chose chromium, Cr, and tantalum, Ta, metals as a new absorbing material for extreme ultraviolet lithography (EUVL) reflection mask. These metals are frequently used in photomask or X-ray mask for lithography and their fabrication processes are well investigated. This paper shows the calculated transmittance of EUV light for various metals at the wavelength of 13.5 nm. Thee transmittance (or absorbing ability) of Cr and Ta metals for the EUV light is nearly equal to that of tungsten, W. We have fabricated the reflection masks with Cr or Ta metal absorbers deposited on top of Mo/Si multilayer reflectors.

MISC

 194

書籍等出版物

 27

講演・口頭発表等

 882

所属学協会

 11

Works(作品等)

 3

共同研究・競争的資金等の研究課題

 11

メディア報道

 46