研究者業績

渡邊 健夫

ワタナベ タケオ  (Takeo Watanabe)

基本情報

所属
兵庫県立大学 高度産業科学技術研究所 極端紫外線リソグラフィー研究開発センター 教授 (学長特別補佐(先端科学技術・異分野融合研究推進)、所長特別補佐、センター長)
学位
理学博士(1990年9月 大阪市立大学)

J-GLOBAL ID
200901004223661630
researchmap会員ID
1000214822

外部リンク

論文

 310
  • K Sugisaki, Y Zhu, Y Gomei, M Niibe, T Watanabe, H Kinoshita
    SOFT X-RAY AND EUV IMAGING SYSTEMS 4146(1) 47-53 2000年  査読有り
    The precise alignment of Extreme Ultra-Violet Lithography (EUVL) imaging system is necessary in order to achieve diffraction-limited performance. Interferometric testing at the exposure wavelength is needed to ensure proper alignment and to achieve an acceptable final wavefront. We have built a prototype at-wavelength interferometer at the NewSUBARU facility. This interferometer is a phase-shifting point diffraction interferometer (PS/PDI) testing specially constructed Schwarzschild optics. Preliminary experiments using visible light were performed in order to learn the PS/PDI. The Schwarzschild optics were aligned using visible wavefront measurements with the interferometer. The precision of the visible measurements was evaluated. Experiments using EUV radiation have been started.
  • S Irie, T Watanabe, H Kinoshita, A Miyafuji, K Sugisaki, T Oshino, K Murakami
    EMERGING LITHOGRAPHIC TECHNOLOGIES IV 3997 807-813 2000年  査読有り
    Extreme ultraviolet lithography (EUVL) is one of the candidates to fabricate a sub-0.1 mu m-pattern. We have developed an Engineering Test Stand (ETS-0) which consists of three aspherical mirrors imaging optics for EUVL. This optics meets the specification of sub-0.1 mu m generation. The key technology of EUVL is a development of reduction optics. The requirements of both figure error and surface roughness are less than 0.3 nm, and the wave-front error (WFE) of optical system has to be reached to be less than lambda/14 rms, where lambda is the exposure wavelength. Therefore, the high-precision fabrication and alignment techniques for the optics are required. We have developed the alignment procedure of three-aspherical-mirror optics to minimize the WFE, by the Fizeau-type interferometer using He-Ne laser (lambda = 632.8 nm) and by the ray trace program (CODE-V). Namely, we have found the effective mirror-adjustment-axis to realize the high-precision alignment. The effective axis is decided by the priority for the adjustment axis. The priority is lead by two methods. One method is decided by the contribution to the WFE reduction that was calculated by CODE-V. The other method is decided by the correlation between the amount of decentration (shift for X-axis or Y-axis direction), despacing (shift for Z-axis direction), tilt of each mirror and the F.Z.-coefficients. The mirror is adjusted in the order of the priority of mirror axis. As a result, the WFE of 3nm RMS has been achieved by using this alignment procedure in three-aspherical-mirror optics.
  • YQ Li, T Watanabe, H Kinoshita
    EMERGING LITHOGRAPHIC TECHNOLOGIES IV 3997 759-764 2000年  査読有り
    A design of four-mirror imaging optics is presented for the wavelength of 13.5 nm. The numerical aperture (NA) is 0.15. The reduction ratio is 5:1, The exposure area on wafer is 0.5mm(width) x 25mm(chord length). The designed resolution is 50 nm with MTF approximate to 60%. The distortion is less than 0.01% and the astigmatism is near zero at the designed ring field.
  • K. Sugisaki, T. Oshino, K. Murakami, T. Watanabe, H. Kinoshita, A. Miyafuji, S. Irie, S. Shirayone
    Proc. SPIE 3997 807-813 2000年  査読有り
  • T Watanabe, H Kinoshita, A Miyafuji, S Irie, S Shirayone, S Mori, E Yano, H Hada, K Ohmori, H Komano
    EMERGING LITHOGRAPHIC TECHNOLOGIES IV 3997 600-607 2000年  査読有り
    The single layer chemically amplified resists are investigated for the extreme ultra-violet lithography. From the results of the sensitivity curve, the positive-tone resist of DP603 and the negative-tone resist of SAL601 have high sensitivities and high gamma values to the EUV exposure wavelength. Furthermore, by the optimization of both the dosage and the wafer focusing position, we succeed in replicating 0.056-mu m-resist-pattem width on the exposure-field size of 10 mm x 1 mm on an I-inches-diameter wafer. We confirm the resolution capability of the three-aspherical mirror imaging system that has been developed by the Himeji Institute of Technology.
  • H Kinoshita, T Watanabe, Y Li, A Miyafuji, T Oshino, K Sugisaki, K Murakami, S Irie, S Shirayone, S Okazaki
    EMERGING LITHOGRAPHIC TECHNOLOGIES IV 3997 70-75 2000年  査読有り
    A three-aspherical-mirror system for Extreme Ultraviolet Lithography has been developed. The aspherical mirrors were fabricated using the computer controlled optical surfacing (CCOS) process and a phase shift interferometer. The mirrors have a figure error of 0.58 nm and surface roughness of 0.3 nm. In order to obtain a high efficiency mirror, M1 and M2 were coated with a graded d-spacing Mo/Si multilayer and mirror M3 was coated with a uniform d-spacing Mo/Si multilayer. The peak reflectivity is 65% at the wavelength of 13.5 ML The wavelength matching of each mirror spans 0.35 nm. The mirrors were aligned with a Fizeau-type phase shift interferometer. and a final wavefront error of less than 3 nm was achieved. Exposure experiments carried out at New Subaru synchrotron facility revealed that this system is capable of replicating a 56 nm pattern in a 10mm x 1mm exposure field.
  • Shigeo Irie, Shigeru Shirayone, Shigeyasu Mori, Hiroaki Oizumi, Nobuyuki Matsuzawa, Ei Yano, Shinji Okazaki, Takeo Watanabe, Hiroo Kinoshita
    Journal of Photopolymer Science and Technology 13(3) 385-389 2000年  査読有り
    The single layer chemically amplified resists are investigated for the extreme ultra-violet lithography. From the results of the sensitivity curve, the positive-tone resist based on poly (p-hydroxystyrene)-type polymers (PHS) have high sensitivities and high gamma values to the EUV exposure wavelength. Furthermore, by the optimization of both the dosage and the wafer focusing position, we succeed in replicating 0.056-μm-resist-pattern width.
  • Hiroo Kinoshita, Takeo Watanabe
    Journal of Photopolymer Science and Technology 13(3) 379-384 2000年  査読有り
    According to the SIA roadmap, by the year of 2006, minimum feature size of 70 nm on wafer is required. Research in U.S., Japan and Europe is aimed at developing and demonstrating an EUVL Tool for critical feature size of 70 nm and below. In Japan, Himeji Institute of Technology (HIT) have developed an EUVL Laboratory Tool, which has a practical exposure field of 30mm &amp 28mm. The alignment and assembly of 3-aspherical mirror optics was completed. A final wave front error of less than 3 nm was achieved. Using this system, exposure experiments are performed using synchrotron facility of New Subaru. Up to now, 56nm patterns have been replicated in the exposure field of 10mm &amp 1mm.
  • S. Irie, A. Miyafuji, T. Watanabe, H. Kinoshita, K. Sugisaki, T. Oshino, K. Murakami
    Proc. of. 9th International Conference on Production Engineering 67-72 1999年  
  • H. Kinoshita, T. Watanabe, J. Daniel, D. Bajuk, Y. Platonov, J. Wood
    Proc. of. 9th International Conference on Production Engineering 9 9-18 1999年  査読有り
  • H Kinoshita, T Watanabe, D Bajuk, J Daniel, Y Kimpara, M Kriese, Y Platonov
    EUV, X-RAY, AND NEUTRON OPTICS AND SOURCES 3767 164-171 1999年  査読有り
    A three-aspherical mirror system for extreme ultraviolet lithography (EUVL) has been developed. The mirrors were fabricated using a computer-controlled optical surfacing (CCOS) process and a phase-shift interferometer. The figure error of the mirrors is 0.58 nm. To achieve a high reflectivity in the clear aperture, Mo/Si multilayer films with an optimized d-spacing were successfully deposited on the mirrors. These results show that we have nearly achieved the target specifications for EUVL mirrors.
  • Katsuhiko Murakami, Tetsuya Oshino, Hiroo Kinoshita, Takeo Watanabe, Masato Niibe, Masaaki Ito, Hiroaki Oizumi, Hiromasa Yamanashi
    Jpn. J. Appl. Phys. 37 6750-6755 1999年  査読有り
  • A. Ando, S. Amano, S. Hashimoto, H. Kinosita, S. Miyamoto, T. Mochizuki, M. Niibe, Y. Shoji, M. Terasawa, T. Watanabe, N. Kumagai
    Journal of Synchrotron Radiation 5(3) 342-344 1998年5月1日  
    The aims of the New SUBARU project are to promote industrial applications in the VUV and soft X-ray region and to develop research and development towards new light sources. The main facility of the New SUBARU project is the 1.5 GeV electron storage ring which is under construction at the SPring-8 site in Harima Science Garden City, Japan. The storage ring is quasi-isochronous and has variable momentum dispersion for the deep study of beam dynamics in very short bunches.
  • T Watanabe, T Haga, M Niibe, H Kinoshita
    JOURNAL OF SYNCHROTRON RADIATION 5 1149-1152 1998年5月  査読有り
    The design of front-end collimating optics for extreme-ultraviolet lithography (EUVL) is reported. For EUVL, collimating optics consisting of a concave toroidal mirror and a convex toroidal mirror can achieve shorter optical path lengths than collimating optics consisting of two concave toroidal mirrors. Collimating optics consisting of a concave toroidal mirror and a convex toroidal mirror are discussed. The design of collimating optics for EUVL beamlines based on ray-tracing studies is described.
  • T Watanabe, T Okada, H Kinoshita
    JOURNAL OF SYNCHROTRON RADIATION 5(791) 791-793 1998年5月  査読有り
    High-resolution X-ray microscopy requires advanced fabrication technology for Fresnel zone plates (FZPs). As the resolution of an FZP depends on the width of the outermost zone, fine zone patterns for objective lenses have to be replicated. On the other hand, to achieve highly condensed X-ray beams by using FZPs for condenser lenses, large-held replication is required. A method of pattern replication of FZPs for X-ray microscopy is reported. Utilizing a 30 keV electron-beam writing tool and an FZP-generation computer program, FZP patterns for a condenser lens 1 mm in diameter with an outermost-zone width of 0.2 mu m and for an objective lens 0.5 mm in diameter with an outermost-zone width of 0.1 mu m were replicated.
  • H Kinoshita, T Watanabe, M Niibe
    JOURNAL OF SYNCHROTRON RADIATION 5(3) 320-325 1998年5月  査読有り
    Extreme ultraviolet lithography is a powerful tool for printing features of 0.1 mu m and below; in Japan and the USA there is a growing tendency to view it as the wave of the future. With Schwarzschild optics, replication of a 0.05 mu m pattern has been demonstrated in a 25 mu m square area. With a two-aspherical-mirror system, a 0.15 mu m pattern has been replicated in a ring slit area of 20 mm x 0.4 mm; a combination of this system with illumination optics and synchronized mask and wafer stages has enabled the replication of a 0.15 mu m pattern in an area of 10 mm x 12.5 mm. Furthermore, in the USA, the Sandia National Laboratory has succeeded in fabricating a fully operational NMOS transistor with a gate length of 0.1 mu m. The most challenging problem is the fabrication of mirrors with the required figure error of 0.28 nm. However, owing to advances in measurement technology, mirrors can now be made to a precision that almost satisfies this requirement. Therefore, it is time to move into a rapid development phase in order to obtain a system ready for practical use by the year 2004. In this paper the status of individual technologies is discussed in light of this situation, and future requirements for developing a practical system are considered.
  • H Kinoshita, Y Kimpara, T Watanabe, Y Platonov, JL Wood
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 37(5A) 2758-2761 1998年5月  査読有り
    We propose a multilayer-coated mirror for the first mirror of a beamline. Calculated reflectivities of over 80% for B4C/W and C/Ni constant d-spacing multilayer-coated mirrors are obtained at 6 keV. Moreover, by using the constant d-spacing multilayer-coated mirror, a monochromatic light source with high reflectivity and high resolution is obtained at the glancing angle of 1.5 degrees. Furthermore, it is determined that a graded d-spacing multilayer-coated mirror, i.e., a supermirror, is effective in this photon energy region since the half-width at half-maximum of the reflectivity increases. A multilayer-coated reflection mirror for beamline optics is promising for applications in microfabrication and structure analysis of materials.
  • K. Murakarni, T. Oshino, H. Kinoshita, T. Watanabe, M. Niibe, M. Itou, H. Oizumi, H. Yamanashi
    Digest of Papers - Microprocesses and Nanotechnology 1998: 1998 International Microprocesses and Nanotechnology Conference 1998-July 76 1998年  
  • H. Kinoshita, T. Watanabe, A. Ozawa, M. Niibe
    Proc. SPIE 3412 20-31 1998年  査読有り
  • M Niibe, A Miyafuji, H Kinoshita, T Watanabe, S Inoue, K Koterazawa
    ADVANCES IN MIRROR TECHNOLOGY FOR SYNCHROTRON X-RAY AND LASER APPLICATIONS 3447 32-39 1998年  査読有り
    We report a progress in the aspherization of precision optical surface by deposition of graded-thickness films onto spherical substrate. As a deposition film, we examined single layer and multilayer film. Mo/Si multilayer had small stress and small surface roughness up to the total film thickness of 1 mu m, and is suitable for the thin film to fabricate mirrors in the EUVL camera. We demonstrate an aspherical mirror fabrication using mask deposition technique. The result shows good agreement between the measured and desired thickness profiles.
  • H. Kinoshita, T. Watanabe, M. Niibe, M. Ito, H. Oizumi, H. Yamanashi, K. Murakami, T. Oshino, Y. Platonov, N. Grupido
    Proc. SPIE 3331(3) 32-39 1998年  査読有り
  • H Kinoshita, Y Kimpara, T Uruga, T Watanabe, M Niibe, Y Platonov, JL Wood
    ADVANCES IN MIRROR TECHNOLOGY FOR SYNCHROTRON X-RAY AND LASER APPLICATIONS 3447 2-11 1998年  査読有り
    This paper describes the beamline optics for deep-etch X-ray lithography (LIGA). In order to obtain a higher reflectivity than that provided by a mirror with a monolayer coating at photon energies of 4 to 6 keV, multilayer mirrors with a constant and graded d-spacing were developed. At an energy of 6 keV, a measured reflectivity of more than 80% and a band width of 1 keV were obtained for a mirror with a Ni/C multilayer coating and a constant d-spacing. Moreover, it was found that, for energies from 4 to 6 keV, a multilayer mirror with a graded d-spacing provided a higher reflectivity and a wider bandwidth than a mirror with a Pt monolayer coating. A multilayer reflection mirror is a promising component of beamline optics for use in microfabrication and the structural analysis of materials.
  • Katsuhiko Murakami
    Journal of Photopolymer Science and Technology 11(4) 565-570 1998年  査読有り
    Extreme ultraviolet lithography (EUVL) is an candidate for lithography technology to be used for the fabrication of the future-generation semiconductor devices with the design rule of lOOnm and below. Till date few extreme ultraviolet (EUV) exposure experiments, which demonstrate a high resolution of less than lOOnm using small field optics, have been performed. However, such a high resolution in the case of practical large-field exposure has not yet been reported. We designed a 3-mirror ring-field projection optics, which enabled large-field exposure. An EUV exposure experiment will be performed using an EUVL beam line to be constructed at the new synchrotron ring named New Subaru, which is now under construction by Hyogo Prefecture. In this paper the details of the EUV exposure tool will be described. ©1998TAPJ.
  • A. Ando, S. Amano, S. Hashimoto, H. Kinoshita, S. Miyamoto, T. Mochizuki, M. Niibe, Y. Shoji, M. Terasawa, T. Watanabe
    Proc. of the 1997 Particle Accelerator Conference 757-759 1998年  査読有り
  • M Niibe, T Watanabe, H Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 36(12B) 7601-7604 1997年12月  査読有り
    Mirrors for the imaging optics of extreme ultra-violet lithography (EUVL) systems require highly precise figure processing. We have examined a method of fabricating the aspherical surfaces by deposition. A film of graded thickness is deposited on a spherical substrate using an RF-plasma-enhanced magnetron sputtering system with a deposition mask. The distribution in thickness of the deposited film is close to the designed value, and the method was shown to be feasible for figuring aspherical surfaces. The deposition films for the method are required to have little surface roughness and low residual stress. We have compared the roughnesses and residual stresses in Mo single layer films and Mo/Si multilayer films used in mirrors for soft X-rays. Both values for Mo/Si multilayers were about one order of magnitude smaller than those for Mo single lay er films. The roughness of Mo/Si multilayer films almost satisfies the required value for EUVL optics; however, further study is necessary to diminish the residual stress.
  • T Watanabe, K Mashima, M Niibe, H Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 36(12B) 7597-7600 1997年12月  査読有り
    The demagnifying optics for extreme ultra-violet lithography (EUVL), which consists of three aspherical mirrors and one plane mirror, is proposed. A resolution of 0.1 mu m can be achieved on a ring field of 26 mm x 1mm in size and the blur size owing to the distortion is small. The assembly tolerance such as decentration tolerance and tilt tolerance is investigated for each of the aspherical mirrors. It is clarified that the assembly accuracy requires 0.0015 degree for the proposed optics.
  • T Kozawa, S Nagahara, Y Yoshida, S Tagawa, T Watanabe, Y Yamshita
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 15(6) 2582-2586 1997年11月  査読有り
    Radiation-induced reactions in chemically amplified resists based on deprotection of t-butoxycarbonyl groups have been investigated by both time-resolved (the pulse radiolysis methods) and steady-state optical absorption spectroscopy, Upon exposure of a partially tBOC-protected novolak by electron and synchrotron radiation beams, the yields of the intermediates contributing to the acid generation (phenoxyl radical and proton adducts of base resin) decreased with increasing the protection ratio of hydroxyl groups. Therefore, the efficiency of the acid generation is closely related with the protection ratio. The relation of the acid generation mechanism with the protection ratio was discussed. (C) 1997 American Vacuum Society.
  • M. Niibe, T. Watanabe, H. Kinoshita
    Proc. 4th International Symposium Sputtering and Plasma Processes 489-493 1997年  査読有り
  • Hiroo Kinoshita, Takeo Watanabe
    J. Photopolym. Sci. and Technol. 10 369-376 1997年  査読有り
  • M. Niibe, T. Watanabe, H. Kinoshita
    JSPE Proceedings on Soft X-ray Optics 542-545 1997年  査読有り
  • Takeo Watanabe, Hiroo Kinoshita, Masahito Niibe
    JSPE Proceedings on Soft X-ray Optics 341-348 1997年  査読有り
  • H Kinoshita, T Watanabe, M Koike, T Namioka
    MATERIALS, MANUFACTURING, AND MEASUREMENT FOR SYNCHROTRON RADIATION MIRRORS 3152 211-220 1997年  査読有り
    Manufacturing for large-scaled integrated circuit requires a large exposure area and high throughput. According to the SLA road map, 16 GbitDRAM requires exposure area of 26 mm x 44 mm for a 0.1-mu m generation. In order to determine these feasibility, we designed an imaging optics which is based on three aspherical-mirror optics for EUVL. This designed optics is very compact one, and the optics can achieve a resolution of less than 0.1 mu m and an ring field of 26 mm x 1.0 mm on a wafer. In assembling the demagnifying optical system, various adjustment errors such as decenter, tilt and despace affect one another in an intricate way and degrade the system performance in a complicated manner. It is therefore important in practice to adjust the system as a whole rather than trying to optimize the effects of individual adjustment mirrors on the resolution by fulfilling respective tolerances. Another important factor affecting the system performance is surface figure error of aspherical mirrors. The surface figure error of aspherical mirror is estimated by calculation of ray tracing method We obtained the tolerance of the figure errors of M1, M2, and M3 to be 0.66 nm, 0.75 nm, and 0.90 nm for replicating 0.1-mu m-pattern, respectively. It is found that these values are twice or three times larger than the values obtained from Marechal criteria.
  • S Mori, T Watanabe, K Adachi, T Fukushima, K Uda, Y Sato
    ADVANCES IN RESIST TECHNOLOGY AND PROCESSING XIII 2724 131-138 1996年  査読有り
    SiN substrate effect in chemically amplified (CA) resist has been investigated by surface analysis and evaluating the pattern profile of CA negative tone resist. Fine profile can be replicated on SiN substrate treated with oxygen plasma optimized condition. Undercut profile can be affected by adsorbed materials on SiN substrate from Thermal Desorption Spectroscopy (TDS) analysis results. From the results of Electron Spectroscopy for Chemical Analysis (ESCA),it is found that Si-N bonding is replaced to Si-O bonding while SiN substrate is treated with oxygen plasma. Relations between footing length and oxygen plasma treatment condition suggest that undercut profile due to the concentration of nitrogen on the surface of SiN substrate. At the interface between the SiN substrate and the CA resist, the SiN substrate works as base existing H2O, and quenchs photo-generated-acids. The mechanism of substrate effect of SiN is clarified. Reducing the SiN-substrate effect by treating the surface with oxygen plasma, fine resist pattern without undercut and footing is formed on SiN substrate.
  • S AOKI, SY BAHK, KS CHUNG, SH CHUNG, H FUNAHASHI, CH HAHN, T HARA, S HIRATA, K HOSHINO, M IEIRI, T IJIMA, K IMAI, Y ITOW, T JINYA, M KAZUNO, K KIKUCHI, CO KIM, DC KIM, JY KIM, K KODAMA, Y MAEDA, A MASAIKE, A MASUOKA, Y MATSUDA, C NAGOSHI, M NAKAMURA, S NAKANISHI, T NAKANO, K NAKAZAWA, K NIWA, H ODA, S OGAWA, SH OH, H OKABE, R OZAKI, IG PARK, MS PARK, Y SATO, H SHIBUYA, HM SHIMIZU, YB SIM, JS SONG, M SUGIMOTO, H TAJIMA, R TAKASHIMA, F TAKEUTCHI, KH TANAKA, R TANAKA, M TERANAKA, TEZUKA, I, H TOGAWA, N USHIDA, T WATANABE, N YASUDA, J YOKOTA, CS YOON
    PHYSICS LETTERS B 355(1-2) 45-51 1995年7月  査読有り
    An event accompanied by two single hyperfragments emitted from a Xi(-) nuclear capture at rest was found in a nuclear emulsion. It is interpreted as a Xi(-)-C-12 bound system decaying into either a)(Lambda)H-4 + Be-9(Lambda), b) H-4(Lambda) + Be-9(Lambda)* or c) H-4(Lambda)* + Be-9(Lambda). The binding energy of the Xi-C-12 system is obtained as a/) 3.70(-0.19)+(0.18) MeV, b) 0.62(-0.19)(+0.1)8 MeV and c) 2.66(-0.19)(+0.18) MeV, respectively. The system is considered as a Xi- hypernucleus in the cases a) and c). In case b), this event and another event of the same type (Yokohama event) can both be interpreted as a decay from the Xi-C-12 system in 2P state when a shallow Xi(-)-nulcear potential is assumed.
  • Y YAMASHITA, T TAGUCHI, T WATANABE
    ADVANCES IN RESIST TECHNOLOGY AND PROCESSING XII 2438 617-625 1995年  査読有り
    Airborne contamination in chemically amplified resist films was evaluated by monitoring deprotection reaction using an IR spectrometer. T-BOC protected (20, 50 and 100 mol%) m- and p- cresol novolak resins and triphenyltriflate were used as a matrix polymer and a photoacid generator (PAG), respectively. Three levels of clean environments whose base contaminant (NH 4+) concentrations were 50 - 80, 5 - 10 and less than 1 ppb, were prepared for the experiments. In order to determine the delay effects precisely, other processes including baking, exposure, and storage during process intervals were conducted in a base-free environment. The PEB delay effect as well as radiation sensitivity without delay depended on the t-BOC content, and the best results were obtained at 50% and 25 - 50% t-BOC contents in m-cresol novolak and p-cresol novolak systems, respectively.
  • Takahiro Kozawa, Mitsuru Uesaka, Takeo Watanabe, Yoshio Yamashita, Yoichi Yoshida, Seiichi Tagawa
    Journal of Photopolymer Science and Technology 8(1) 37-42 1995年  査読有り
    Radiation-induced reactions in novolak-based chemically amplified resists have been studied by means of pulse radiolysis in the time ranges from the order of picoseconds to that of minutes. In the chemically amplified electron beam and X-ray resists, the electron scavenging effect of onium salts delays the recombination of cationic intermediates with electrons and prolongs the lifetime of the cationic intermediates. The absorption due to an phenoxyl radical of novolak was observed at 400nm by electron beam exposure. It is thought that the proton adducts and phenoxyl radicals of novolak were formed by ion-molecular reactions between novolak and its radical cations. Furthermore, long-lived intermediates were observed in the novolak-based chemically amplified resists. © 1995, The Society of Photopolymer Science and Technology(SPST). All rights reserved.
  • T. Watanabe, Y. Yamashita, T. Kozawa, Y. Yoshida, S. Tagawa
    J. Vac. Sci. Technol. B12 3879-3883 1994年12月  査読有り
  • H NAGATA, M OHTANI, K MURAKAMI, T OSHINO, H OIZUMI, Y MAEJIMA, T WATANABE, T TAGUCHI, Y YAMASHITA, N ATODA
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 33(1A) 360-363 1994年1月  査読有り
    Soft X-ray projection lithography with a reduction rate of 32 was examined using Mo/Si-multilayer-coated Schwarzschild optics. The optics were designed to function at 13 nm, and were aligned with the synchrotron radiation light source. The patterns on a transmission mask were imaged in a 0.18-mu m-thick polymethyl methacrylate resist. Line-and-space patterns down to 0.1 mu m were fabricated.
  • T KOZAWA, M UESAKA, T WATANABE, Y YAMASHITA, H SHIBATA, Y YOSHIDA, S TAGAWA
    POLYMERIC MATERIALS FOR MICROELECTRONIC APPLICATIONS 579 121-129 1994年  査読有り
    Radiation-induced reactions of onium salts in m-cresol, which is a model compound of phenolic resins, and in a novolak resin, have been studied by means of pico- and nanosecond pulse radiolyses. The absorptions due to an oxylradical and cationic species of m-cresol were observed in the m-cresol solution by electron beam exposure. This result suggests that the proton adducts of m-cresol are formed in m-cresol by ion-molecular reactions between m-cresol and its radical cations. The electron scavenging effect of onium salts delays the recombination of cationic intermediates with electrons and prolongs the lifetime of the cationic intermediates. Similar proton transfer occurs in novolak. Furthermore, the absorptions arising from novolak and proton (acid) were observed in novolak containing onium salts.
  • T WATANABE, Y YAMASHITA, T KOZAWA, Y YOSHIDA, S TAGAWA
    POLYMERIC MATERIALS FOR MICROELECTRONIC APPLICATIONS 579 110-120 1994年  査読有り
    A new interpretation of radiation-induced acid generation processes in polymer films is reported. For the investigation of the acid generation in chemically amplified resist films we employed a model system. In order to analyze the acid generation process, we utilized the visible absorption characteristics from a conventional spectrophotometer and a nanosecond pulse radiolysis system. The acid generation mechanisms of triphenylsulfonium triflate in m-cresol novolac and p-cresol novolac systems are discussed on the basis of absorption spectra. The acid-catalyzed reaction during post-exposure bake is also discussed in terms of the absorption spectra of the m-cresol novolac system.
  • Yoshio Yamashita, Mitsuaki Morigami, Takeo Watanabe, Shuji Fujiwara, Junichi Nishino
    Journal of Photopolymer Science and Technology 7(3) 551-560 1994年  査読有り
    The pattern replication characteristics in X-ray proximity lithography were investigated. Replication accuracy was evaluated as a function of proximity gap, pattern size and pattern density. It is found that 0.15 µm lines and spaces can be delineated in the wide proximity gap range of 40 µm and that 0.2-µm-level pattern replication minimally depends on the pattern density. The 0.25-µm-level critical dimension (CD) of the resist pattern was measured over a 6” wafer and the CD variation (3σ) was 0.0134 µm or ±5.4% for the linewidth. The resolution limit of X-ray proximity printing is discussed. Calculation results demonstrate that X-ray lithography has a high resolution of less than 0.1 µm. Resolution of 0.1 µm is confirmed by experimental results. © 1994, The Society of Photopolymer Science and Technology(SPST). All rights reserved.
  • H OIZUMI, Y MAEJIMA, T WATANABE, T TAGUCHI, Y YAMASHITA, N ATODA, K MURAKAMI, M OHTANI, H NAGATA
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 32(12B) 5914-5917 1993年12月  査読有り
    Poly (methylmethacrylate) (PMMA), resist performance for soft X-ray projection lithography (SXPL) at an exposure wavelength of 13 nm was investigated with an special emphasis on development condition dependence. The resist contrast value gamma for 13 nm SXPL showed strong dependence on the developer, in contrast with proximity X-ray lithography (XRL) at the peak-wavelength of 0.7 nm. With an optimized developer, a resolution as high as 0.05 mum was achieved. A wide focus range of 1.5 mum with a 0.1 mum line-and-space pattern was also confirmed.
  • T TANAKA, M MORIGAMI, T WATANABE, M FUTAGAMI, K OKADA, S FUJIWARA, Y YAMAOKA, M HARADA, K KANEDA, J NISHINO, S SUZUKI
    MICROELECTRONIC ENGINEERING 20(4) 277-289 1993年12月  査読有り
    The replicated pattern distortion for feature sizes down to 0.15 mum, characterized by pattern linearity, pattern density dependence, and corner rounding, is investigated in synchrotron radiation (SR) lithography. In the investigation, a highly accurate X-ray mask delineated by a focused ion beam, a chemically amplified resist, and a Fresnel diffraction model simulator are used. At a 15 mum mask-to-wafer gap, no pattern proximity effect is observed. The corner rounding radius due to Fresnel diffraction is approximately 0.04 mum.
  • Shuji Fujiwara, Yoshikazu Yamaoka, Mitsuaki Harada, Junichi Nishino, Ryokan Yuasa, Motohiko Inai, Shigeo Suzuki, Toshihiko Tanaka, Mitsuaki Morigami, Takeo Watanabe, Yoshio Yamashita
    Proceedings of SPIE - The International Society for Optical Engineering 1924 82-88 1993年6月24日  査読有り
    A highly accurate focused ion beam (FIB) lithography and its application to the x-ray mask fabrication are discussed. The pattern delineation accuracy in FIB lithography was investigated by drawing various two-dimensional (2-D) test patterns. We could obtain 0.15 micrometers feature resist patterns on the heavy-metal layers of the x-ray mask substrate. FIB lithography suffers little proximity effect and thus various 2-D test patterns were obtained with small distortion. The FIB drawn patterns were precisely transferred into the W absorber layer by the time modulated etching technique. X-ray masks used for the evaluation of the pattern replication accuracy in synchrotron radiation lithography were successfully fabricated.
  • 渡邊 健夫
    Prog. Theor. Phys. 89(2) 493-500 1993年2月  査読有り
  • S FUJIWARA, Y YAMAOKA, M HARADA, J NISHINO, R YUASA, M INAI, S SUZUKI, T TANAKA, M MORIGAMI, T WATANABE, Y YAMASHITA
    ELECTRON-BEAM, X-RAY, AND ION-BEAM SUBMICROMETER LITHOGRAPHIES FOR MANUFACTURING III 1924 82-88 1993年  査読有り
  • H NAGATA, M OHTANI, K MURAKAMI, T OSHINO, Y MAEJIMA, T TANAKA, T WATANABE, Y YAMASHITA, N ATODA
    OSA PROCEEDINGS ON SOFT X-RAY PROJECTION LITHOGRAPHY 18 83-86 1993年  査読有り
  • M MORIGAMI, T TANAKA, T WATANABE, Y YAMASHITA, S FUJIWARA, J NISHINO, M HARADA, Y YAMAOKA, R YUASA, M INAI, S SUZUKI
    ELECTRON-BEAM, X-RAY, AND ION-BEAM SUBMICROMETER LITHOGRAPHIES FOR MANUFACTURING III 1924 290-297 1993年  査読有り
    Replicated pattern accuracy was investigated in synchrotron radiation (SR) lithography, using a chemically amplified (CA) negative-Type resist and a highly accurate x-ray mask delineated by focused ion beams (FIB). The size of the hole resist pattern which is arranged in a lattice is affected by the proximity gap and the pattern pitch, but that of the dot resist pattern is hardly dependent on these variables. The dot resist pattern, which was replicated with the 0.25-micrometers-square hole mask pattern, became circular with a proximity gap of over 20 micrometers. In order to obtain highly accurate pattern shape as well as pattern size, the proximity gap should be less than 20 micrometers. The resist pattern size and shape depend on the exposure dose. This was confirmed through the simulation of Fresnel diffraction. The resist pattern was influenced considerably by the post-exposure baking (PEB) conditions, temperature and time. The PEB condition dependence of a replicated pattern was investigated. It is effective to extend the PEB time to improve the sensitivity without deforming the pattern shape.
  • K. Kodama, N. Ushida, A. Mokhtarani, V. S. Paolone, J. T. Volk, J. O. Wilcox, P. M. Yager, R. M. Edelstein, A. P. Freyberger, D. B. Gibaut, R. J. Lipton, W. R. Nichols, D. M. Potter, J. S. Russ, C. Zhang, Y. Zhang, H. I. Jang, J. Y. Kim, T. I. Kim, I. T. Lim, M. Y. Pac, B. R. Baller, R. J. Stefanski, K. Nakazawa, K. S. Chung, S. H. Chung, D. C. Kim, I. G. Park, M. S. Park, J. S. Song, C. S. Yoon, M. Chikawa, T. Abe, T. Fujii, G. Fujioka, K. Fujiwara, H. Fukushima, T. Hara, Y. Takahashi, K. Taruma, Y. Tsuzuki, C. Yokoyama, S. D. Chang, B. G. Cheon, J. H. Cho, J. S. Kang, C. O. Kim, K. Y. Kim, T. Y. Kim, J. C. Lee, S. B. Lee, G. Y. Lim, S. W. Nam, T. S. Shin, K. S. Sim, J. K. Woo, Y. Isokane, Y. Tsuneoka, S. Aoki, A. Gauthier, K. Hoshino, H. Kitamura, M. Kobayashi, M. Miyanishi, K. Nakamura, M. Nakamura, Y. Nakamura, S. Nakanishi, K. Niu, K. Niwa, H. Tajima, J. M. Dunlea, S. G. Frederiksen, S. Kuramata, B. G. Lundberg, G. A. Oleynik, N. W. Reay, K. Reibel, R. A. Sidwell, N. R. Stanton, K. Moriyama, H. Shibata, G. R. Kalbfleisch, P. Skubic, J. M. Snow, S. E. Willis, O. Kusumoto, T. Okusawa, m. Teranaka, T. Tominaga, T. Yoshida, H. Yuuki, H. Okabe, J. Yokota, M. Adachi, M. Kazuno, E. Niu, H. Shibuya, S. Watanabe
    Physics Letters B 286(1-2) 187-194 1992年7月23日  査読有り
    The branching ratio for the decay mode D+→K*0μ+ν has been measured with two methods. The first uses D0→K-μ+ν for normalization, and yields the result B(D+→K*0μ+ν)=(3.25±0.71±0.75)%. From this method we also obtain the direct measurement Γ(D+→K*0μ+ν)/Γ(D0→K-μ+ν)=0.43±0.09±0.09. The second method uses the mode D+→K-π+π+ for normalization and yields B(D+→K*0μ+ν)=(4.18±0.66±0.96)%. Combining the results of the two methods yields B(D+→K*0μ+ν)=(3.57±0.96)%. © 1992.
  • K. Kodama, N. Ushida, A. Mokhtarami, V. S. Paolone, J. T. Volk, J. O. Wilcox, P. M. Yager, R. M. Edelstein, A. P. Freyberger, D. B. Gibaut, R. J. Lipton, W. R. Nichols, D. M. Potter, J. S. Russ, C. Zhang, Y. Zhang, H. I. Jang, J. Y. Kim, T. I. Kim, I. T. Lim, M. Y. Pac, B. R. Baller, R. J. Stefanski, K. Nakazawa, K. S. Chung, S. H. Chung, D. C. Kim, I. G. Park, M. S. Park, J. S. Song, C. S. Yoon, M. Chikawa, T. Abe, T. Fujii, G. Fujioka, K. Fujiwara, H. Fukushima, T. Hara, Y. Takahashi, K. Taruma, Y. Tsuzuki, C. Yokoyama, S. D. Chang, B. G. Cheon, J. H. Cho, J. S. Kang, C. O. Kim, K. Y. Kim, T. Y. Kim, J. C. Lee, S. B. Lee, G. Y. Lim, S. W. Nam, T. S. Shin, K. S. Sim, J. K. Woo, Y. Isokane, Y. Tsuneoka, S. Aoki, A. Gauthier, K. Hoshino, H. Kitamura, M. Kobayashi, M. Miyanishi, K. Nakamura, M. Nakamura, Y. Nakamura, S. Nakanishi, K. Niu, K. Niwa, H. Tajima, J. M. Dunlea, S. G. Frederiksen, S. Kuramata, B. G. Lundberg, G. A. Oleynik, N. W. Reay, K. Reibel, R. A. Sidwell, N. R. Stanton, K. Moriyama, H. Shibata, G. R. Kalbfleisch, P. Skubic, J. M. Snow, S. E. Willis, O. Kasumoto, K. Nakamura, T. Okusawa, M. Teranaka, T. Tominaga, T. Yoshida, H. Yuuki, H. Okabe, J. Yokota, M. Adachi, M. Kazuno, E. Niu, H. Shibuya, S. Watanabe
    Physics Letters B 284(3-4) 461-470 1992年6月25日  
    We present total and differential cross sections for charm mesons produced in 600 GeV/c π- emulsion interactions. Fits to d2σ/dxF dpT2∞ (1-|xF|)nexp (-bpT2) for 676 electronically reconstructed D mesons with xF>0 give n=4.25±0.24 (stat.)±0.23 (syst.) and b=0.76±0.03±0.03 (GeV/c)-2. The total inclusive D+ and D0 cross sections are σ(π-N→D±; xF>0) = 8.66±0.46±1.96μb nucleon and σ(π-N→D0 D0; xF>0)=22.05±1.37±4.82μb nucleonk, where a linear dependence on the mean atomic weight of the target is assumed. These results are compared to next-to-leading order QCD predictions. © 1992.

MISC

 194

書籍等出版物

 27

講演・口頭発表等

 882

所属学協会

 11

Works(作品等)

 3

共同研究・競争的資金等の研究課題

 11

メディア報道

 46