研究者業績

渡邊 健夫

ワタナベ タケオ  (Takeo Watanabe)

基本情報

所属
兵庫県立大学 高度産業科学技術研究所 極端紫外線リソグラフィー研究開発センター 教授 (学長特別補佐(先端科学技術・異分野融合研究推進)、所長特別補佐、センター長)
学位
理学博士(1990年9月 大阪市立大学)

J-GLOBAL ID
200901004223661630
researchmap会員ID
1000214822

外部リンク

論文

 310
  • Hiroto Kudo, Shizuya Ohori, Hiroya Takeda, Hiroki Ogawa, Takeo Watanabe, Hiroki Yamamoto, Takahiro Kozawa
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 31(2) 221-225 2018年  査読有り
    We synthesized tannic acid derivatives with pendant cyclohexyl acetal moieties (TA-CVEn), butyl acetal moieties (TA-BVEn), and adamantyl ester moieties (TA-AD(n)) by the reaction of tannnic acid (TA) with cyclohexyl vinyl ether (CVE), butyl vinyl ether (BVE), and adamantyl bromo acetate (AD) in various feeds ratios. The synthesized TA-CVEn, TA-BVEn, and TA-AD(n) had good solubility, good film-forming ability, and high thermal stability relevant to application of photolithography materials. However, only TA-BVE97 and TA-AD(74) can be used as positive-type photo-resist materials using 2.38 wt% TMAH aq. as developer due to the result of thickness loss property. Furthermore, their resist-sensitivity upon EUV exposure tool and etching durability were adequate and they have high potential as next-generation resist material for EUV photolithography.
  • Mana Yoshifuji, Shota Niihara, Tetsuo Harada, Takeo Watanabe
    J. Photopolym. Sci. Technol., 31(2) 215-220 2018年  査読有り
  • Takeo Watanabe
    Proceedings of 2017 7th International Conference on Integrated Circuits, Design, and Verification, ICDV 2017 2017- 2-7 2017年12月12日  査読有り
    Extreme ultraviolet (EUV) lithography which can utilize the single resist process is the most promising lithographic technology for semiconductor electronic devices such as MPU, memory. In the International Electron Device Meeting (IEDM) 2016 which was held in San Francisco, as the fabrication of ULSIs which are going to use for the future Internet of Things (IoT) requires the low cost and low power consumption devices, using the single resist process in high volume manufacturing is strongly required. The technical issues of EUV lithography for high volume manufacturing are 1) EUV light source, 2) EUV resist development, 3) EUV pellicle, and 4) defect free EUV mask development. EUVL is planned to be inset into high volume manufacturing from 2019. Recently, ASML demonstrated EUV LPP source power of 250 W at intermediate focal point, and adapting to NxE-3400B EUV exposure system, 12 inches wafer throughput of 125 wafers per hour is achieved. The current status of and prospect for EUVL will be introduced and discussed.
  • Daiki Mamezaki, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe
    JAPANESE JOURNAL OF APPLIED PHYSICS 56(6) 06GB01 2017年6月  査読有り
    In extreme-ultraviolet (EUV) lithography, the development of a review apparatus for the EUV mask pattern at an exposure wavelength of 13.5nm is required. The EUV mask is composed of an absorber pattern and a Mo/Si multilayer on a glass substrate. This mask pattern has a threedimensional (3D) structure. The 3D structure would modulate the EUV reflection phase, which would cause focus and pattern shifts. Thus, the review of the EUV phase image is also important. We have developed a coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. The EUV phase and intensity images were reconstructed with diffraction images by ptychography. For a standalone mask review, the high-harmonic-generation (HHG) EUV source was employed. In this study, we updated the sample stage, pump-laser reduction system, and gas-pressure control system to reconstruct the image. As a result, an 88nm line-and-space pattern and a cross-line pattern were reconstructed. In addition, a particle defect of 2 mu m diameter was well reconstructed. This demonstrated the high capability of the standalone CSM, which can hence be used in factories, such as mask shops and semiconductor fabrication plants. (C) 2017 The Japan Society of Applied Physics
  • Daiki Mamezaki, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe
    Proceedings of SPIE - The International Society for Optical Engineering 10454 2017年  
    © 2017 SPIE. In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50 - 70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-μm diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.
  • Daiki Mamezaki, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe
    PHOTOMASK JAPAN 2017: XXIV SYMPOSIUM ON PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY 10454 2017年  
    In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50-70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-mu m diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.
  • Tetsuo Harada, Hiraku Hashimoto, Takeo Watanabe
    IEEJ Transactions on Fundamentals and Materials 137(5) 260-264 2017年  査読有り
    For evaluation of defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a micro coherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 140-nm focus diameter on the defect using a Fresnel zoneplate. This system directly observes the reflection and diffraction signals from a phase defect. The phase and the intensity image of the defect is reconstructed with the diffraction images using ptychography, which is an algorithm of the coherent diffraction imaging. We observed programmed phase defect on a blank EUV mask. Phase distributions of these programmed defect were well reconstructed quantitatively. We also observed actual defects which was inspected by the ABI tool. Actual amplitude defect and phase defect images were reconstructed with intensity and phase contrast. The reconstructed image indicated the defect type of these defect. The micro-CSM is very powerful tool to evaluate an EUV phase defect.
  • Takashi Sato, Tomoaki Takigawa, Yuta Togashi, Takumi Toida, Masatoshi Echigo, Tetsuo Harada, Takeo Watanabe, Hiroto Kudo
    INTERNATIONAL CONFERENCE ON EXTREME ULTRAVIOLET LITHOGRAPHY 2017 10450 2017年  査読有り
    In this paper, we designed the synthesis of negative-type molecular resist materials for EB and EUVL exposure tools, and their properties were examined. The resist materials for EUVL have been required showing higher sensitivity for high throughput in the lithographic process, and expecting lower shot noise to improve a roughness. In EUVL process, the resist materials must be ionized by absorbing EUV to emit more secondary electrons. The EUV absorption of the synthesized resist materials was measured using their thin films on the silicon wafer, and it was observed that the ratio of EUV absorption of the synthesized resist was higher than in the comparison of that of PHS as a reference., i.e., 2.4 times higher absorption was shown. Furthermore, we examined the relationship between the ratios of EUV absorptions and functional groups of the resist materials. As the result, the sensitivity of resist materials under EUV exposure tool was consistent with their structures.
  • Seiji Takahashi, Yoichi Minami, Mikio Kadoi, Yoko Matsumoto, Atsushi Sekiguchi, Takeo Watanabe
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 30(1) 109-112 2017年  査読有り
    In this study, we evaluated the outgassing generated from EUV resist which included metal oxide nanoparticles during electron irradiation. We prepared two types of samples including ZrO2 and TeO2, and a sample without including metal oxide, respectively. The outgassing species were measured from each sample during electron irradiation at the E-th exposure dose. The electron acceleration energy was 2 keV. In the outgassing measurement, we used original in-situ outgassing monitoring system in quadrupole mass spectrometry which we developed. From the results of mass spectrum, we observed CO2, H2O as typical mass peaks at each sample. And also we observed C4H4O2 and C4H6O2 peaks in spectrum of each sample. And these peaks are guessed 2(5H)-furanone and butyrolactone generated from base polymer. C6H6 peaks were observed in the mass spectrum of including ZrO2 and TeO2. We guessed that these peaks are from benzene generated from photo-acid generator (PAG). On the other hand, C6H6 peaks were not observed in the mass spectrum of without metal oxide. About this, we could not explain that mechanism by our knowledge of the present, but we can guess that metal oxide nanoparticles worked to generate benzene. About metal oxide peaks did not observe about mass spectrum of each sample. Each metal or metal oxide might not be evaporated during electron irradiation.
  • Seiji Takahashi, Yoichi Minami, Mikio Kadoi, Yoko Matsumoto, Atsushi Sekiguchi, Takeo Watanabe
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY VIII 10143 2017年  査読有り
    In this study, we evaluated the outgassing generated from EUV resist which included metal oxide nanoparticles during electron irradiation. We prepared two types of samples including ZrO2 and TeO2, and a sample without including metal oxide, respectively. The outgassing species were measured from each sample during electron irradiation at the E-th exposure dose. The electron acceleration energy was 2 keV. In the outgassing measurement, we used original in-situ outgassing monitoring system in quadrupole mass spectrometry which we developed. From the results of mass spectrum, we observed CO2, H2O as typical mass peaks at each sample. And also we observed C4H4O2 and C4H6O2 peaks in spectrum of each sample. And these peaks are guessed 2(5H)-furanone and butyrolactone generated from base polymer. C6H6 peaks were observed in the mass spectrum of including ZrO2 and TeO2. We guessed that these peaks are from benzene generated from photo-acid generator (PAG). On the other hand, C6H6 peaks were not observed in the mass spectrum of without metal oxide. About this, we could not explain that mechanism by our knowledge of the present, but we can guess that metal oxide nanoparticles worked to generate benzene. About metal oxide peaks did not observe about mass spectrum of each sample. Each metal or metal oxide might not be evaporated during electron irradiation.
  • Atsushi Sekiguchi, Yoko Matsumoto, Michiya Naito, Yoshiyuki Utsumi, Tetsuo Harada, Takeo Watanabe
    INTERNATIONAL CONFERENCE ON EXTREME ULTRAVIOLET LITHOGRAPHY 2017 10450 2017年  査読有り
    To improve EUV resist sensitivity, studies have sought to enhance EUV light absorption by adding metals characterized by high EUV light absorption to the resist polymer. This approach is intended to increase secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency [1-3]. As reported in our previous report, to determine whether adding metals characterized by high EUV light absorption actually enhances sensitivity, we performed transmittance measurements and sensitivity evaluations of resist samples doped with ZrO2 or TeO2 nanoparticles, which have low and high EUV light absorption, respectively, in molar quantities of 0-2 relative to PAG. The samples were subjected to EUV exposure at the NewSUBARU synchrotron radiation facility. The results of transmittance measurements and sensitivity evaluations showed that, while the ZrO2-doped resist showed no changes in absorption or sensitivity, the TeO2-doped resist showed enhancement in both properties. Based on these results, we confirmed that adding metals characterized by high EUV light absorption to the EUV resist enhances its EUV light absorption and increases secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency [4-5]. In the efforts discussed in the present report, we examined whether adding metals directly to PAG could further enhance sensitivity by increasing the EUV light absorption of PAG itself, thereby efficiently heightening the effect of the secondary electron emission on PAG.
  • Mari Fukunaga, Hiroki Yamamoto, Takahiro Kozawa, Takeo Watanabe, Hiroto Kudo
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 30(1) 103-107 2017年  査読有り
    Synthesis, physical properties, and resist properties of tellurium containing polymer with pendant adamantyl ester groups poly(Re-co-Te)-AD were examined, relevant to the application of resist material for extreme ultraviolet laser photolithography (EUVL) system. A tellurium containing polymer with pendant hydroxyl groups poly(Re-co-Te) was synthesized by the condensation reaction of resorcinol (Re) and tellurium tetrachloride (TeCl4), followed by the condensation reaction with adamantyl bromo acetate to give a corresponding polymer poly(Re-co-Te)-AD. Their physical properties (solubility, film-forming ability, thermal stability) and resist properties (thickness loss property after soaking in 2.38 wt% TMAH aq. solution, out-gassing on EUV exposure tool, and resist sensitivity under EUV exposure tool) were also examined.
  • Yusuke Nakatani, Tetsuo Harada, Atsushi Takano, Motoyuki Yamada, Takeo Watanabe
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 30(1) 77-82 2017年  査読有り
    In DSA pattern observation of hp 5 nm and below, the pattern observation method for physical and chemical structures is necessary. Scattering measurement of hard X-rays is one method which can quantitatively evaluate the physical structure of materials. However, when many components such as polymer materials are mixed, it can observe the averaged physical structure, and it is difficult to evaluate the structure of the individual chemical components. Thus, we developed a scattering measurement method using soft X-rays near the carbon absorption edge. Since the absorption spectrum varies in the near carbon absorption edge for each polymer molecule, the individual physical structures can be observed from the scattering profile with different photon energy. In this study, both the physical and chemical structures of the triblock terpolymers such as poly(isoprene-block-styrene-block-(2-vinylpyridine)) which consists of polyisoprene, polystyrene, and poly(2-vinylpyridine) were observed. The physical structure of the triblock polymer observed two types of the packed cylinder structures such as the tetragonal and hexagonal packed structures. The film thickness of this triblock polymer was 400 mu. By varying the photon energy of the scattering measurement, the scattering light intensity changed greatly, and the signal from each polymer could be evaluated. The soft X-ray scattering measurement at the near absorption edge can be measured the molecular structure of a composite material such as a blended polymer individually and can be utilized in material development.
  • Shota Niihara, Daiki Mamezaki, Masanori Watanabe, Tetsuo Harada, Takeo Watanabe
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 30(1) 87-92 2017年  査読有り
    Since the resist thickness in EUV lithography of which base material mainly consists of an organic material is thin, the EUV photon energy is not be used efficiently for the EUV chemical reaction. In order to increase chemical reaction incidence in the EUV photon energy, a resist having a high-absorption material compounds for the EUV photons has been developed. It has been studied to increase the absorption including high-absorption materials such as hafnium and zinc, tin oxide, tellurium. For the development of the next-generation high sensitive resist materials, since it is significant to measure the EUV absorption coefficient accurately, it is necessary to measure the transmittance and resist thickness to obtain the absorption coefficient accurately. Thus, we have developed to evaluate the absorption coefficient of the EUV resist at BL 10 beamline of NewSUBARU synchrotron hlight facility. In the previous paper, we measured the EUV resist transmittance on a freestanding membrane. However, since it was very difficult to coat resist on a membrane with high uniformity, the transmittance could not be measured accurately. Thus, we have developed the precise transmittance measurement method by coating resist on a photodiode directly, and the resist thickness on a photodiode was measured by XRR accurately instead on conventional method. Finally, the accurate measurement of EUV resist absorption coefficient was achieved.
  • Shohei Nagata, Shota Niihara, Tetsuo Harada, Takeo Watanabe
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 30(5) 583-589 2017年  査読有り
    The technical issues of EUV resist are high resolution, high sensitivity, low line edge roughness (LER), and low outgas. Between these, there is a trade-off relationship, and the most significant point in these issues is the simultaneous achievement of high sensitivity and low LER. In order to efficiently develop high-performance EUV resist, it is necessary to understand the chemical reaction of EUV resist. Thus, we have studied the EUV chemical reaction using the soft X-ray absorption spectroscopy. In this method, the absorption spectra had a lot of absorption peak which could not be assigned to the chemical bonding. For analysis of these unknown peaks, the molecular orbital (MO) calculation using the first principle, so-called "ab initio", is expected to be an effective support computation method. Since the chemical structural-optimization is necessary for the first-principle calculation, we introduced the MO calculation software Conflex that can search the position of reactive active molecules in the conformational space, optimize resist chemical structure, and create a resist molecular model. Based on this optimized molecular model, the MO calculation software Gaussian was performed to calculate IR spectrum. By comparing the IR spectra obtained by an experiment and the calculation, some IR peaks was assigned to a chemical group, and chemical-bond transformation was suggested. From these results, it was confirmed that MO calculation had an ability for analyze the chemical reaction of resist material. Thus, MO calculation can accelerate the development of high-performance resist material, which will help a breakthrough of semiconductor devices for "the internet of things".
  • 原田哲男, 橋本拓, 渡邊健夫
    電気学会論文誌A 137(5) 260-264 2017年  査読有り
  • 原田哲男, 渡邊健夫
    姫路工業倶楽部部報工学レポート 28 2017年1月  査読有り
  • Atsushi Sekiguchi, Tetsuo Harada, Takeo Watanabe
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY VIII 10143 1014322 2017年  査読有り
    Studies have been carried out on developing a method for improving EUV resist sensitivity by enhancing EUV light absorption through the addition of metals having high EUV light absorption to the resist polymer in order to increase secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency ([1-3]). To confirm whether the addition of metals having high EUV light absorption actually does enhance sensitivity, study efforts included transmittance measurements and sensitivity evaluations of resist samples doped with ZrO2 or TeO2 nanoparticles, which have low and high EUV light absorption, respectively, in molar quantities of 0-2 relative to PAG. The samples were subjected to EUV exposure at the NewSUBARU synchrotron radiation facility. While the ZrO2-doped resist showed no evident enhancement of sensitivity or transmittance, the TeO2-doped resist showed enhancement in both properties. Based on these results, we confirmed that the addition of metals having high EUV light absorption to the EUV resist enhances its EUV light absorption and increases secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency.
  • Haruki Iguchi, Hiraku Hashimoto, Masaki Kuki, Tetsuo Harada, Hiroo Kinoshita, Takeo Watanabe, Yuriy Y. Platonov, Michael D. Kriese, Jim R. Rodriguez
    JAPANESE JOURNAL OF APPLIED PHYSICS 55(6) 06GC01 2016年6月  査読有り
    In extreme-ultraviolet (EUV) lithography, the development of high-power EUV sources is one of the critical issues. The EUV output power directly depends on the collector mirror performance. Furthermore, mirrors with large diameters are necessary to achieve high collecting performance and take sufficient distance to prevent heat and debris from a radiation point of the source. Thus collector mirror development with accurate reflectometer is important. We have developed a large reflectometer at BL-10 beamline of the NewSUBARU synchrotron facility that can be used for mirrors with diameters, thicknesses, and weights of up to 800 mm, 250mm, and 50 kg, respectively. This reflectometer can measure reflectivity with fully s-polarized EUV light. In this study, we measured the reflectance of a 412-mm-diameter EUV collector mirror using a maximum incident angle of 36 degrees. We obtained the peak reflectance, center wavelength and reflection bandwidth results and compared our results with Physikalisch-Technische Bundesanstalt results. (C) 2016 The Japan Society of Applied Physics
  • Tetsuo Harada, Hiraku Hashimoto, Tsuyoshi Amano, Hiroo Kinoshita, Takeo Watanabe
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 15(2) 021007 2016年4月  査読有り
    To evaluate defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a micro-coherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 140 nm focus diameter on the defect using a Fresnel zone plate. This system directly observes the reflection and diffraction signals from a phase defect. The phase and the intensity image of the defect are reconstructed with the diffraction images using ptychography, which is an algorithm of the coherent diffraction imaging. We observed programmed phase defect on a blank EUV mask. Phase distributions of these programmed defects were well reconstructed quantitatively. The micro-CSM is a very powerful tool to review an EUV phase defect. (C) 2016 Society of Photo-Optical Instrumentation Engineers (SPIE)
  • Tetsuo Harada, Hiraku Hashimoto, Tsuyoshi Amano, Hiroo Kinoshita, Takeo Watanabe
    APPLIED PHYSICS EXPRESS 9(3) 035202 2016年3月  査読有り
    Extreme-ultraviolet (EUV) lithography poses a number of challenges, one of which is the production of a defect-free mask. To observe the defects on an EUV mask in a quantitative phase image, we have developed a microcoherent EUV scatterometry microscope. The intensity and phase images of the defects are reconstructed using ptychography. We observe four actual defects on an EUV blank mask using the microscope. The reconstructed shapes of the actual defects correspond well to those measured by atomic force microscopy (AFM). Our microscope should therefore function as an essential review tool in characterizing defects. (C) 2016 The Japan Society of Applied Physics
  • 渡邊 健夫
    Proceedings of the 20th SANKEN International Symposium 2016 2016年  招待有り
  • Takeo Watanabe, Tetsuo Harada
    Proceedings of the 14th International Conference on radiation Curing, 2016年  招待有り
  • T. Harada, H. Hashimoto, T. Watanabe
    PHOTOMASK TECHNOLOGY 2016 9985 99851T 2016年  査読有り
    To review phase and amplitude defect on extreme ultraviolet (EUV) mask with EUV intensity and phase contrast, we have developed the micro coherent EUV scatterometry microscope (micro-CSM). A coherent EUV beam was focused on a defect using a Fresnel zoneplate, where the illumination size was 140 nm diameter. Diffraction from the defect was captured by an EUV CCD camera directly. The diffraction signal was depended on the zoneplate focus, where the defect signal was efficiently detected at a best focus position. To review an actual EUV mask that has no focus-alignment pattern on surface, we developed a focusing method using a speckle signal.
  • Hiraku Hashimoto, Tetsuo Harada, Takeo Watanabe
    PHOTOMASK TECHNOLOGY 2016 9985 99850K 2016年  査読有り
    One of the critical issue of EUV lithography is fabrication of defect-free mask. The origin of the defect is a particle inside the multilayer and bump or pit on glass substrate. This type of defect is called a phase defect. If there is a phase defect, the reflection phase is disordered. As a result, the phase structure is printed as a defect on a wafer. Thus, we have developed micro coherent EUV scatterometry microscope ( we called micro-CSM) for phase defect characterization. Micro-CSM records scattering signal from a defect directly exposed by focused coherent EUV having a spot size of phi 140-nm in diameter. An off-axis-type Fresnel zone plate was employed as a focusing optics. Phase distribution of the defect is reconstructed with the scattering image by the coherent-diffraction-imaging method. We observed actual phase defects in this work. Actual phase defects were on a mask blanks which was the same grade of the pre-production mask of the semiconductor devices. The positions of actual phase defects have been already inspected by the actinic blank inspection tool. And, the actual phase defects have been already observed using an atomic force microscope. A purpose of this work is observation of these actual defects using micro-CSM and comparison of the results.
  • Takeo Watanabe, Tetsuo Harada
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 29(5) 737-744 2016年  査読有り
    The advanced feature size patterning process of semiconductor conductor devices has been strongly charged in the information-technology oriented society. Extreme ultraviolet lithography (EUVL) is expected as a leading candidate of the next generation lithography for semiconductor electronic devices. The technology issues in EUV lithographic are the development of 1) EUV light source with high power and high stability, 2) EUV resist with simultaneous achievement of high resolution, high sensitivity, low line width roughness (LWR), and low outgassing. Center for EUVL in University of Hyogo has played an important role in the research and development of EUV lithography in two decades. Research and development of EUV lithography toward HVM was started its development just before twenty years ago to develop full field exposure tool employing tree aspherical imaging optics. In University of Hyogo developed 1) large reflectometer for the reflectivity measurement of the collector mirror for the EUV light source, 2) the EUV resist evaluation system such as the EUV interference lithography and outgassing system using in-situ ellipsometer for the evaluation of EUV resist of 10 nm and below, and X-ray absorption fine structure for EUV resist chemical reaction analysis to increase EUV exposure sensitivity, 4) the defects inspection of the actinic blanks and patterned mask. As the results, those evaluation tool could contribute EUV lithographic technology to lead to the HVM of electronic devices.
  • Daiki Mamezaki, Masanori Watanabe, Tetsuo Harada, Takeo Watanabe
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 29(5) 749-752 2016年  査読有り
    To increase the sensitivity of extreme-ultraviolet (EUV) resist, the method of adding high absorption material in base resin of the resist such as hafnium or zirconium metal has been developed. Since the BUY absorption of the base resin increases, the secondary electron emissions would increase to have a high resist-exposure sensitivity. Thus to achieve the high sensitive EUV resist, it is important to evaluate EUV absorption of the EUV resist precisely. We have developed a novel transmittance measurement method to evaluate EUV resist absorption with high precision at BL10 beamline of NewSUBARU synchrotron light facility. In this novel method, a sample resist was coated on an EUV photodiode directly. The EUV transmittance of the resist was measured with photodiode signals before and after the coating. The resist thickness uniformity coating on a photodiode has much smaller value compared to that coating on a freestanding membrane. By novel method, the measurement precisions of the resist thickness and the EUV transmittance were significantly improved. As the result, the high measurement precision of absorption coefficient of 1% was achieved. This novel method will help the development of high sensitive EUV resist with the high-absorption material.
  • Eishi Shiobara, Yukiko Kikuchi, Shinji Mikami, Takeshi Sasami, Takashi Kamizono, Shinya Minegishi, Takakazu Kimoto, Toru Fujimori, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita, Satoshi Tanaka
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY VII 9776 97762H 2016年  査読有り
    The suppression of outgassing from the EUV resist is one of the significant challenges, which has to be addressed for realizing EUV lithography (EUVL). The outgassing might be the main contributor involved in the contamination of the mirror optics in scanners. This may result in reflectivity losses. The pragmatic outgassing test that utilizes the witness sample (WS) was used as a general method to quantify the outgassing level for commercially available chemically amplified resists (CAR). There are two types of contaminations. The first type of contamination involves a cleanable contamination that mainly comprises hydrocarbons that can be removed by the hydrogen radical cleaning. Another type of contamination includes the noncleanable contamination that remains on the WS even after hydrogen radical cleaning. Several outgas qualification results were evaluated at the EIDEC [1, 2]. The data indicated that contaminations by the CAR mainly comprised the cleanable contaminations. The data also indicated that there were almost negligible noncleanable contaminations from the CAR. EUV resist communities accelerate the development of high sensitivity resists to compensate the low power of the EUV source. Nonchemically amplified resist (nonCAR) with a new platform is a candidate for high sensitivity resists. The nonCAR includes some types of metal elements with high absorbance for EUV light. There is very limited research on the outgassing characteristics of the nonCAR. In this study, we considered an EUV exposure process in the actual EUV scanner and EUV resists were exposed in a hydrogen environment. A potential risk could result from the reaction of the hydrogen radicals generated by the EUV light with the metal elements in the nonCAR and the metal hydride outgases from the resist. This would result in a noncleanable contamination on the EUV mirror [3]. The knowledge with respect to outgassing from an organic metal complex is insufficient even in a vacuum condition. Hence, the study involved the preparation of certain types of organic metal complexes as model materials. Then, the outgassing evaluations for the materials were carried out as a fundamental studies in a vacuum condition. The results were reported in this study.
  • Tomoki Nagai, Takeo Watanabe
    Journal of Photopolymer Science and Technology 28(6) 775 2015年11月16日  査読有り
  • Takahiro Fujino, Yusuke Tanaka, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 54(6) 06FC01 2015年6月  査読有り
    In extreme ultraviolet (EUV) lithography, the three-dimensional structure of an EUV mask, which has an absorber layer and a Mo/Si multilayer on a glass substrate, strongly affects the EUV phase. We have developed a coherent EUV scatterometry microscope (CSM) to observe EUV patterns with a quantitative phase contrast based on the coherent-diffraction-imaging method, which is a simple system without an objective. A coherent stand-alone high-harmonic-generation (HHG) EUV source has been developed for practical use. Although the throughput of the relay optics in the previous study was insufficient to compensate for the fluctuation of the beam position, herein the beam position is stabilized and the relay optics are upgraded, increasing the throughput of the EUV power 130-fold. Consequently, the detection time for the same defect size is markedly reduced from 1000 to 1 s. Furthermore, a 52 x 52 nm(2) absorber defect is detected in 10 s. (C) 2015 The Japan Society of Applied Physics
  • Tetsuo Harada, Hiraku Hashimoto, Yusuke Tanaka, Tsuyoshi Amano, Takeo Watanabe, Hiroo Kinoshita
    APPLIED PHYSICS EXPRESS 8(5) 055202 2015年5月  査読有り
    Extreme-ultraviolet (EUV) lithography poses a number of challenges. One of which is the production of a defect-free mask. To observe the phase defects on an EUV mask in a quantitative phase image, we have developed a micro-coherent EUV scatterometry microscope at the NewSUBARU synchrotron facility. This microscope focused coherent EUV on a 140-nm-diameter defect and recorded the diffraction. The intensity and phase images of the defect are reconstructed by the coherent diffraction imaging method of ptychography. Phase defects with a 30 nm spatial resolution were reconstructed. This quantitative phase imaging method should help improve EUV masks. (C) 2015 The Japan Society of Applied Physics
  • Takahiro Fujino, Yusuke Tanaka, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita
    Proceedings of SPIE - The International Society for Optical Engineering 9658 1045413 2015年  査読有り
    In extreme ultraviolet (EUV) lithography, the three-dimensional (3D) structure of the EUV mask, which has an absorber layer and a Mo/Si multilayer on a glass substrate, strongly affects the EUV phase. EUV actinic metrology is required to evaluate the feature of defect printability and the critical dimension (CD) value. The 3D structure modulates the EUV phase, causing the pattern position and focus shift. A microscope that observes in phase contrast necessary. We have developed a coherent EUV scatterometry microscope (CSM) for observing EUV patterns with quantitative phase contrast. The exposure light is coherent EUV light. For the industrial use, we have developed a laboratory coherent source of high-harmonic-generation (HHG) EUV light. High harmonics is pumped by a scale of a Ti:Sapphire laser. In the previous study, a very long exposure time of 1000 s was necessary to detect We upgraded the relay optics. The detection performance of an absorber defect using the new relay optics is We observed the line-end oversize defect and the oversize defect in the 112 nm hole pattern and 180 nm hole pattern. The upgraded system has a detection size limit of a line-end 24-nm-oversize defect with 10 s exposure time, which is 2,688 nm&lt sup&gt 2&lt /sup&gt (52 × 52 nm&lt sup&gt 2&lt /sup&gt ) absorber defect. This result shows high performance capability of HHG-CSM for detecting small defect.
  • Tetsuo Harada, Hiraku Hashimoto, Tsuyoshi Amano, Hiroo Kinoshita, Takeo Watanabe
    PHOTOMASK TECHNOLOGY 2015 9635 96351E 2015年  査読有り
    To evaluate defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a micro coherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 140-nm focus diameter on the defect using a Fresnel zoneplate. This system directly observes the reflection and diffraction signals from a phase defect. The phase and the intensity image of the defect is reconstructed with the diffraction images using ptychography, which is an algorithm of the coherent diffraction imaging. We observed programmed phase defect on a blank EUV mask. Phase distributions of these programmed defect were well reconstructed quantitatively. The micro-CSM is very powerful tool to review an EUV phase defect.
  • Hiroo Kinoshita, Takeo Watanabe, Tetsuo Harada
    Adv. Opt. Technol. 2015 4(4) 319-331 2015年  査読有り
  • Eishi Shiobara, Isamu Takagi, Yukiko Kikuchi, Takeshi Sasami, Shinya Minegishi, Torn Fujimori, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita, Soichi Inoue
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 28(1) 103-110 2015年  査読有り
    The suppression of extreme ultraviolet (EUV) resist outgassing is one of the challenges in high-volume manufacturing with EUV lithography (EUVL), because it contributes to the contamination of the EUV scanner mirror optics, resulting in reflectivity loss. The outgas qualification using a witness sample (WS) has been developed into the general method for clarifying commercially available, chemically amplified resists. In our recent study, a resist outgas model is proposed and tested to investigate the contamination thickness' dependency on exposure dose. The model successfully explains the experimental outgas phenomenon. It is estimated that increasing exposure dose, in resists with low activation energies (Ea) in deprotection reactions, results in extreme increase in contamination thickness. The detail is explained in this paper.
  • Xiaowei Wang, Georg Pawlowski, Tetsuo Okayasu, Masato Suzuki, Yusuke Hama, Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 28(1) 111-117 2015年  査読有り
    The contamination of the EUV optics by resist fragments generated during exposure under high volume manufacturing conditions is a serious threat to the lifetime of the EUV exposure tools. The photon energy of EUV sources largely exceeds the binding energy of all organic molecules and it is known from laser ablation experiments that direct laser induced cleavage of sigma bonds occurs. Even though the fragments formed during the irradiation process are produced in the mid ppb level only, they can act as effective laser deposition precursors and contaminate the tool chamber, the mirrors and the mask. In this paper, we describe an effective method to eliminate the contamination of EUV optics through the application of a novel outgassing barrier layer (OBL-A) on a conventional ArF resist film, which performs well upon EUV exposure. The outgassing fragments from the resist's methacrylate resist polymer, its protection groups, the photo acid generator (PAG) and quencher were determined by QMS (quadruple mass spectrometer) with and without application of the OBL-A top barrier layer on the resist film. Results clearly indicate that the outgassing fragments were suppressed effectively which was also verified by the witness plate method. No deposition was observed on the witness mirror using an ellipsometer monitor in real time when applying a top bather layer on the resist film. The application of the OBL-A top layer provides an effective means to eliminate the limitations on resist material design as conventional polymers, non-bound PAGs and standard quenchers can be selected for resist design when this novel top barrier layer is applied on the resist film.
  • Masaki Kuki, Tomoyuki Uemura, Masato Yamaguchi, Tetsuo Harada, Takeo Watanabe, Yasuji Muramatsu, Hiroo Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 28(4) 531-536 2015年  査読有り
    For the analysis of fluorine materials and 3d transition metals by soft-x-ray absorption spectroscopy, A new diffraction grating with multilayer coating was installed at the BL-10 beamline of the NewSUBARU synchrotron light source. The target photon energy range of this grating is from 500 eV to 1,000 eV, which includes absorption edges of fluorine and 3d transition metals. The beam intensity of BL-10 in this range was very low due to low reflectance of the diffraction grating for the usage of monochromator. In order to obtaining high reflectance, we developed wideband W/Si multilayer and this multilayer was coated on a new diffraction grating. The reflectance of this multilayer was approximately 13-times higher than that of previous Ni single layer at the fluorine absorption energy edge of 697 eV. The beam intensity at the energy of 697 eV using the new diffraction grating was over 40 times stronger than that using the previous Ni-coated diffraction grating. As the result, using the new diffraction grating, it can be observed that the high-quality absorption spectrum of EUV resist at the absorption edge of fluorine and standard materials of 3d transition metals. The results show that the W/Si multilayer coating significantly improved the performance of the grating at the target energy range.
  • Tsubasa Fukui, Hirohito Tanino, Yuki Fukuda, Masaki Kuki, Takeo Watanabe, Hiroo Kinoshita, Tetsuo Harada
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 28(4) 525-529 2015年  査読有り
    The advanced feature size patterning process of semiconductor conductor devices was being charged with the important role with development of an information-technology oriented society. Extreme ultraviolet lithography (EUVL) is expected as a leading candidate of the next generation lithography for semiconductor electronic devices. The development of EUV resist which has high resolution, high sensitivity, low LWR, and low out gassing is a second critical issue of the EUVL. Development of the two-beam interference exposure tool using the EUV light has been upgraded for the critical dimension of 10-nm-order in EUV resist patterining process. This tool was installed at the 10.8-nm-long undulator beamline BL09B of NewSUBARU synchrotron radiation facility. Using this EUV interference lithographic method, 15 run hp resist pattern had been replicated on a silicon wafer. The transmission grating fabrication is the most significant key technology in the EUV interference lithography. The advanced fabrication process is applied for the transmission-grating fabrication for the EUV resist patterning beyond the feature size of 15 um, such as 12.5 and 10 nm.
  • Haruki Iguchi, Hiraku Hashimoto, Masaki Kuki, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    PHOTOMASK JAPAN 2015: PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY XXII 9658 965819 2015年  査読有り
    In extreme-ultraviolet (EUV) lithography, mirror optics is coated with a Mo/Si multilayer film. Since throughput of an EUV system is directly depends on the multilayer film reflectance, we have developed a mask reflectometer to evaluate the reflectance in BL-10 beamline of the NewSUBARU synchrotron facility. In particular, the EUV output power from a EUV light source relates to the reflectance of a collector mirror. Thus, we installed a new large reflectometer in BL-10 beamline to evaluate the collector mirror reflectance. The reflectometer can measure a mirror with a diameter of up to 800 mm, a thickness of 250 mm, and a weight of 50 kg. The entire sample surface can be measured in spherical coordinate using vertical y and rotation phi axis. Each axis positions are measured with optical encoders precisely, and are controlled in closed-loop operation. We measured reflectance of an EUV mask using the large reflectometer and the mask reflectometer. The peak reflectance was well consisted with the two reflectometer within 0.1%. The large reflectometer has high reproducibility of the peak reflectance measurement.
  • Takahiro Fujino, Yusuke Tanaka, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita
    PHOTOMASK JAPAN 2015: PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY XXII 9658 965818 2015年  査読有り
    In extreme ultraviolet (EUV) lithography, the three-dimensional (3D) structure of the EUV mask, which has an absorber layer and a Mo/Si multilayer on a glass substrate, strongly affects the EUV phase. EUV actinic metrology is required to evaluate the feature of defect printability and the critical dimension (CD) value. The 3D structure modulates the EUV phase, causing the pattern position and focus shift. A microscope that observes in phase contrast necessary. We have developed a coherent EUV scatterometry microscope (CSM) for observing EUV patterns with quantitative phase contrast. The exposure light is coherent EUV light. For the industrial use, we have developed a laboratory coherent source of high-harmonic-generation (HHG) EUV light. High harmonics is pumped by a scale of a Ti:Sapphire laser. In the previous study, a very long exposure time of 1000 s was necessary to detect We upgraded the relay optics. The detection performance of an absorber defect using the new relay optics is We observed the line-end oversize defect and the oversize defect in the 112 nm hole pattern and 180 nm hole pattern. The upgraded system has a detection size limit of a line-end 24-nm-oversize defect with 10 s exposure time, which is 2,688 nm(2) (52 x 52 nm(2)) absorber defect. This result shows high performance capability of HHG-CSM for detecting small defect.
  • E. Shiobara, I. Takagi, Y. Kikuchi, T. Sasami, S. Minegishi, T. Fujimori, T. Watanabe, T. Harada, H. Kinoshita, S. Inoue
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY VI 9422 942210 2015年  査読有り
    The suppression of extreme ultraviolet (EUV) photoresist-related outgassing is one of the challenges in high-volume manufacturing with EUV lithography (EUVL), because it contributes to the contamination of the EUV scanner mirror optics, resulting in reflectivity loss. Witness sample pragmatic outgas qualification has been developed into the general method for clarifying commercially available, chemically amplified resists. Preliminary results have suggested a linear correlation between contamination thickness in the electron-beam-based and the EUV-based evaluation systems. In fact, a positive relationship was observed between contamination thickness and exposure dose. However, recent experiments indicate that in some resists, this relationship is not linear. In the present study, a resist outgas model is proposed and tested to investigate the contamination thickness' dependency on exposure dose. The model successfully explains the experimental outgas phenomenon. It is estimated that increasing exposure dose, in resists with low activation energies (Ea) in deprotection reactions, results in extreme increase in contamination thickness. Furthermore, the low-Ea resists have high contamination risk when exposure is extensive.
  • Atsushi Sekiguchi, Yoko Matsumoto, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    Proc. SPIE 9422 94222L 2015年  査読有り
  • 植村智之, 村松康司, 南部啓太, 福山大輝, 九鬼真輝, 原田哲男, 渡邊健夫, 木下博雄
    X線分析の進歩 46 317-325 2015年  査読有り
  • Mitsunori Toyoda, Kenjiro Yamasoe, Akifumi Tokimasa, Kentaro Uchida, Tetsuo Harada, Tsuneo Terasawa, Tsuyoshi Amano, Takeo Watanabe, Mihiro Yanagihara, Hiroo Kinoshita
    APPLIED PHYSICS EXPRESS 7(10) 102502 2014年10月  査読有り
    To confirm the high spatial resolution expected in extreme ultraviolet (EUV) microscopy, fine grating patterns with a half-pitch of less than 100 nm on a lithography mask were imaged using a full-field microscope based on a multilayer-mirror objective. When the tilted illumination technique is applied to this novel imaging system, a spatial resolution better than 20 nm can be expected at a wavelength of 13.5 nm. We demonstrated high resolution via EUV reflection images of test patterns with a half-pitch between 30 and 80 nm. (C) 2014 The Japan Society of Applied Physics
  • Hiroo Kinoshita, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe, Katsumi Midorikawa
    JAPANESE JOURNAL OF APPLIED PHYSICS 53(8) 086701 2014年8月  査読有り
    An EUV mask inspection system, called a coherent scatterometry microscope (CSM), has been developed, in which high-order harmonic generation (HHG) is employed to produce coherent 13.5 nm light. It was designed for detecting defects and measuring the critical dimension (CD) of EUV mask patterns. The required resolution for pattern defects is 20 nm, and the required repeatability for CD measurements is 0.1 nm. To achieve these specifications, it was necessary to return to the basic principles of optics and to develop a new inspection method, rather than relying on conventional optics, such as those of an optical microscope. The HHG-CSM was used to inspect absorber pattern defects (missing holes, bridges) for the 22 nm node by the die-to-die method and was found to exhibit satisfactory performance. (C) 2014 The Japan Society of Applied Physics
  • Yusuke Tanaka, Tetsuo Harada, Tsuyoshi Amano, Youichi Usui, Takeo Watanabe, Hiroo Kinoshita
    JAPANESE JOURNAL OF APPLIED PHYSICS 53(6) 06JC03 2014年6月  査読有り
    To evaluate defects on extreme ultraviolet (EUV) masks in the blank state of manufacture, we developed a micro-coherent EUV scatterometry microscopy (micro-CSM) system. Its illumination source is coherent EUV light with a 230nm focus diameter on the defect using a Fresnel zoneplate. This system directly observes the reflection and scattering intensities, which are strongly related to the printability of a defect. After observing pit-type program phase defects with a 60 nm width and 1 nm depth, we estimated the reflection and scattering intensities of each defect using step-and-repeat measurement around the defect. The reflection and scattering intensities from the program defects of the same design fluctuated. This indicates that the actual volumes of all defects differ even for the same design. Additionally, the scattering distributions of 30-nm-wide defects were anisotropic owing to interference with speckle from multilayer scattering. Thus, micro-CSM demonstrates that the scattering distribution depends on the defect position in the multilayer. (C) 2014 The Japan Society of Applied Physics
  • Togo Kudo, Kazuo Kobayashi, Shun Ono, Takeo Watanabe, Hiroo Kinoshita, Masao Okihara, Takaki Hatsui
    IEEE TRANSACTIONS ON NUCLEAR SCIENCE 61(3) 1444-1450 2014年6月  査読有り
    A high-speed experimental method to evaluate the X-ray radiation damage of a large number of transistors has been developed. In this method, test-element groups (TEGs), including approximately 10,000 metal-oxide-semiconductor (MOS) transistors, were formed on a silicon-on-insulator (SOI) wafer and irradiated with X-rays using novel equipment. After irradiation, fuses on the wafer were cut to isolate each transistor, and the transistor characteristics were measured by an automatic probe station. This method can provide approximately 10,000 lines of I-V curves of the transistors under 31 irradiation dose conditions in 10 days. Radiation damages are known to largely depend on the bias voltage conditions of the devices. In this method, the TEGs are located apart from one another on the wafer; then, the X-ray doses and bias voltage can be controlled specifically by each TEG. Using this equipment, a large amount of experimental data can be effectively acquired. The statistical data analysis enables highly effective radiation-resistant semiconductor development and reliability examination.
  • Tsuyoshi Amano, Tsuneo Terasawa, Hidehiro Watanabe, Mitsunori Toyoda, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 13(2) 02312 2014年4月  査読有り
    Influences of phase defect structures on extreme ultraviolet (EUV) microscope images were examined. Phase defects on the bottom of a multilayer (ML) do not always propagate vertically upward to the ML's top surface. For this study, two types of masks were prepared. One was an EUV blank with programmed phase defects made of lines in order to analyze the inclination angle of the phase defects. The other was an EUV mask that consists of programmed dot type phase defects 80 nm wide and 2.4 nm high with absorber patterns of half-pitch 88-nm lines-and-spaces. The positions of the phase defects relative to the absorber lines were designed to be shifted accordingly. Transmission electron microscope observations revealed that the line type phase defects starting from the bottom surface of the ML propagated toward the ML's top surface, while inclined toward the center of the EUV blank. At the distances of 0 and 66 mm from the center of the EUV blank, the inclination angles varied from 0 to 4 deg. The impacts of the inclination angles on EUV microscope images were significant even though the positions of the phase defect relative to the absorber line, as measured by a scanning probe microscope, were the same. (C) The Authors. Published by SPIE under a Creative Commons Attribution 3.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
  • 江村 和也, 渡邉 健夫, 山口 太都, 谷野 寛仁, 福井 翼, 塩野 大寿, 春山 雄一, 村松 康司, 大森 克実, 佐藤 和史, 原田 哲男, 木下 博雄
    応用物理学会学術講演会講演予稿集 2014.1 1493-1493 2014年3月3日  
  • Tsuyoshi Amano, Tsuneo Terasawa, Hidehiro Watanabe, Mitsunori Toyoda, Tetsuo Harada, Takeo Watanabe, Hiroo Kinosihta
    Proceedings of SPIE - The International Society for Optical Engineering 9048(2) 023012 2014年  査読有り
    Influence of phase defect structures on Extreme Ultraviolet (EUV) microscope images were examined to predict the inclination angle dependency of the phase defect impact on wafers since the phase defect does not always propagate in a vertical direction from an EUV mask substrate surface through the multilayer. Two types of the programmed phase defect mask were prepared. One is an EUV blank with programmed bump phase defects to analyze the inclination angle of the phase defects. The other is a programmed phase defect EUV mask that consists of bump phase defects of 80-nmwide and 2.4-nm-high with half-pitch 88 nm lines-and-spaces pattern. The positions of the phase defects relative to the absorber lines were designed to be shifted accordingly. Transmission electron microscope observation revealed that the bump phase defects starting from the substrate surface propagated through the multilayer toward the center of the substrate as they reach the top surface of the multilayer. At the distances of 0 and 66 mm from the substrate center, the inclination angles varied from 0 to 4 degrees. The impacts of the inclination angles on EUV microscope images were significant even though the positions of the phase defect relative to the absorber line, as measured by a scanning prove microscope, were same. © 2014 SPIE.

MISC

 194

書籍等出版物

 27

講演・口頭発表等

 882

所属学協会

 11

Works(作品等)

 3

共同研究・競争的資金等の研究課題

 11

メディア報道

 46