研究者業績

渡邊 健夫

ワタナベ タケオ  (Takeo Watanabe)

基本情報

所属
兵庫県立大学 高度産業科学技術研究所 極端紫外線リソグラフィー研究開発センター 教授 (学長特別補佐(先端科学技術・異分野融合研究推進)、所長特別補佐、センター長)
学位
理学博士(1990年9月 大阪市立大学)

J-GLOBAL ID
200901004223661630
researchmap会員ID
1000214822

外部リンク

論文

 310

MISC

 194
  • 山口裕也, 福島靖之, 釜地義人, 阪上尚規, 井口貴文, 多田将樹, 原田哲男, 渡邊健夫, 木下博雄
    日本放射光学会年会・放射光科学合同シンポジウム予稿集 23rd 2009年  
  • 多田将樹, 多田将樹, 原田哲男, 原田哲男, 永田豊, 永田豊, 木下博雄, 木下博雄, 渡邊健夫, 渡邊健夫
    日本放射光学会年会・放射光科学合同シンポジウム予稿集 23rd 2009年  
  • 渡邊 健夫
    光学 37(4) 219-221 2008年4月10日  
  • 渡邊 健夫
    高分子学会予稿集 56(2) 4534-4536 2007年9月4日  
  • Yasuyuki Fukkushima, Ryuji Ohnishi, Takeo Watanabe, Hideaki Shiotani, Shouta Suzuki, Masamichi Hayakawa, Yusuke Endo, Tomotaka Yamanaka, Shinichi Yusa, Hiroo Kinoshita
    Digest of Papers - Microprocesses and Nanotechnology 2007; 20th International Microprocesses and Nanotechnology Conference, MNC 430-431 2007年  
    We developed and evaluated the PAG bonded resist that is a CA resist to reduce LER for EUVL. Under EUV exposure, E0 sensitivity of the PAG-bonded resist was 5 mJ/cm2. In order to clarify resolution and LER, EB exposure was performed, and LER of 3.5 nm (3a) in 75 nm L/S was achieved by PAG bonded resist. A LER of PAG bonded resist is smaller than that of PAG blended resist. It can be considered that the PAG density of PAG bonded resist has higher uniformity than that of PAG blended resist. In addition, resolution of 25 nm was achieved by the 50 kV EB writing tool. It is confirmed that PAG bonded resist have characteristics of high resolution and low LER. We will discuss of the improvement of exposure characteristics using beneficial PAG group in the PAG-bonded resist for EB and EUV resists.
  • 格内 敏, 田邊 久照, 坂本 亨, 渡辺 健夫, 木下 博雄
    精密工学会大会学術講演会講演論文集 2002(2) 563-563 2002年10月1日  
  • T Watanabe, H Kinoshita, K Hamamoto, M Hosoya, T Shoki, H Hada, H Komano, S Okazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 41(6B) 4105-4110 2002年6月  
    The engineering test stand ETS-1 three-aspherical-mirror imaging system has been developed. In the fine pattern replication using a Cr mask in static exposure. the resist pattern is replicated in the exposure area of 10 min x 2 mm with the line and space pattern width of 60 nm, the isolated line pattern width of 40 urn, and the hole pattern width of 150 nm. For the scanning exposure, the resist pattern is replicated with the line and space pattern width of 60 nm in an exposure area of 10 mm x 10 mm. We have also constructed a multilayer reflectivity measurement system at the BL10 beamline of the NewSUBARU facility. The full field of ULE6025 mask reflectivity can be measured. Furthermore, the low-outgassing chemically amplified resist EUV010 has been developed based on KrF chemically amplified resist.
  • 木下 博雄, 渡邊 健夫, 新部 正人
    應用物理 71(2) 190-194 2002年2月10日  
  • J. Photopolym. Sci. Technol. 15(3) 361-366 2002年  
  • 光学 31(7), 524-531 2002年  
  • K Hamamoto, T Watanabe, H Hada, H Komano, H Kinoshita
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 15(3) 361-366 2002年  
    According to the synchronous scanning of the mask and wafer with EUVL laboratory tool (ETS-1) with reduction optical system which consisted of three-aspherical-mirror in the NewSUBARU facilities succeeded in the line of 60 nm and the space pattern formation in the exposure region of 10 mm x 10 mm. Comparing the result of exposure characteristics for positive-tone resist for KrF and EB, KrF chemically amplified resist has better characteristics than EB chemically amplified resist. The development of suitable resist for EUVL that the resolution performance and sensitivity are good, and the amount of outgassing is low will be advanced based on KrF resist.
  • 木下 博雄, 渡邊 健夫, 浜本 和宏, 椿野 晴繁
    電気学会基礎・材料・共通部門大会講演論文集 = Proceeding of Annual Conference of Fundamentals and Materials Society, IEE Japan 2001 234-234 2001年9月21日  
  • J. Photopolymer Science and Techol 14(4) 567-572 2001年  
  • J. Photopolymer Science and Technol 14(4) 555-560 2001年  
  • Kazuhiro Hamamoto, Takeo Watanabe, Harushige Tsubakino, Hiroo Kinoshita, Tsutomu Shoki, Morio Hosoya
    Journal of Photopolymer Science and Technology 14(4) 567-572 2001年  
    Fine pattern replication utilizing 3-aspherical mirror system settled on NewSUBARU beamline is described. The exposure system is composed of three aspherical mirrors, and the NA is 0.1. The diffraction limited resolution of 60 nm was exposed on exposure area of 10 mm × 2 mm. The Cr mask pattern fabricated by the wet etching method was used for the extreme ultraviolet lithography mask. The pattern of 100 nm or less can be formed by with this Cr mask, and using photoresist of ZEP520 and SAL601. The line and space pattern width of 60 nm was fabricated with ZEP520. In addition, it has been understood that the isolation line of 43 nm width was replicated. Furthermore the hole pattern of 150 nm was replicated.
  • Takeo Watanabe, Kazuhiro Hamamoto, Hiroo Kinoshita, Harushige Tsubakino, Hideo Hada, Hiroshi Komano, Masayuki Endo, Masaru Sasago
    Journal of Photopolymer Science and Technology 14(4) 555-560 2001年  
    Extreme ultraviolet (EUV) lithography requires the vacuum environment for the exposure. The hydrocarbons outgassing ions species affects the reflectivity of the mask and the imaging mirror under EUV irradiation. The photo-induced outgassing was investigated for both the non-chemically amplified resists and the chemically amplified resists which can be used for EUVL. We found out the chemically amplified positive tone resist which has low outgassing characteristics under the EUV irradiation. Furthermore, it is found that the photodecomposition occurred under EUV irradiation from the resist outgassing investigation.
  • H Nii, H Kinoshita, T Watanabe, Y Matsuo, Y Sugie
    PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY VIII 4409 687-694 2001年  
    In the present ULSI cleaning processes, a photoresist is usually stripped by a combination of dry ashing by ozone (O-3) with wet process of the piranha cleaning. The effects of cleaning process' the mask for the extreme ultraviolet lithography (EUVL) are discussed in these dry and wet processes. In dry ashing by O-3, the virtual EUVL mask adhered organic contamination is tested. After removing the contamination using a dry ashing by O-3, the surface roughness of the mask is decreased from 0.54 nm (rms) to 0.42 nm (rms). Also, the periodic structure of the mask is confirmed. The dry ashing by O-3 is effective for removing a contamination of EUVL mask. In wet process, the piranha cleaning and typical RCA wet cleaning are employed at Mo/Si multilayer. The periodic structure of Mo/Si multilayer did not changed after Piranha and RCA cleaning except FH solution in dipping long time. We confirmed the Mo/Si miltilayer have enough tolerance to the Piranha and RCA cleaning.
  • H Nii, H Kinoshita, T Watanabe, K Hamamoto, H Tsubakino, Y Sugie
    PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY VIII 4409 681-686 2001年  
    Recently, extreme ultraviolet lithography (EUVL) becomes to be a candidate for the next generation lithographic technology from 70 nm down to 35nm. In this technology, multilayer films coating on the thick glass substrate is used. We have proposed the Cr absober mask for EUVL using wet process. Cr absorber has been generally used as photomask, and been also supposed to be a promising material as absorber for EUVL mask because of its both high extreme ultraviolet (EUV) contrast and excellent chemical durability. The mask absorber pattern typically including the patterns of 0.35-mum-width lines and spaces (L&S) has been completely fabricated without degradation of the multilayer. Furthermore, the fine patterns width of less than 0.15 mum have been successfully fabricated in the entire mask area of the 4-inch-diameter wafer. The EUVL mask has been evaluated with the EUVL laboratory tools at the beamline BL3 at the NewSUBARU synchrotron radiation facility. Line and spaces pattern width of less than 0.1 mum are clearly replicated and isolated lines pattern width of 40 nm are also replicated. We confirmed that the mask absoreber pattern fabrication process using a wet process is useful for EUVL mask.
  • YQ Li, H Kinoshita, T Watanabe, S Irie, S Shirayone, S Okazaki
    APPLIED OPTICS 39(19) 3253-3260 2000年7月  
    A scanning critical illumination system is designed to couple a synchrotron radiation source to a three-aspherical-mirror imaging system for extreme ultraviolet lithography. A static illumination area of H x V = 8 mm x 3 mm (where H is horizontal and Vis vertical) can be obtained. Uniform intensity distribution and a large ring field of H x V = 150 mm x 3 mm can be achieved by scanning of the mirror of the condenser. The coherence factor (sigma) of this illumination system is similar to 0.6, with the same beam divergence in both the horizontal and the vertical directions. We describe the performance of the imaging optics at sigma = 0.6 to confirm that the illumination optics can meet the requirements for three-aspherical-mirror imaging optics with a feature size of 0.06 mu m. (C) 2000 Optical Society of America OCIS codes: 220.3740, 220.4830, 150.2950.
  • Niibe Masahito, Watanabe Takeo, Nii Hajime, Tanaka Takeshi, Kinoshita Hiroo
    Jpn. J. Appl. Phys. 39, 6815-6818(12) 6815-6818 2000年  
    We chose Cr and Ta metals as new absorbing materials for extreme ultraviolet lithography (EUVL) reflection masks. The transmittances of EUV light for these metals were calculated to be nearly equal to those for W metal at the wavelength of 13.5 nm. Reflection masks were successfully fabricated from Ta metal films by dry-etching and from Cr metal films by the lift-off technique. The mask contrast was measured using an EUV reflectometer system with a synchrotron radiation source. For the Cr absorber mask, measured peak reflectivities at the reflecting and the absorbing part of the processed patterns were 59% and 4.9%, respectively, and the contrast was about 12. The peak reflectivities at the reflecting and absorbing parts of the processed patterns for the Ta absorber mask were 50% and 0.48%, respectively, and the contrast was about 105. The masks, prepared about one year before the reflectivity measurements and stored in air, exhibited no significant degradation of reflectivity or surface quality. However, a 4% reduction in reflectivity was observed after two years'' storage in air, even though the top layer of the Mo/Si multilayer for the masks was Si, which has been reported to prevent oxidation.
  • Kinoshita Hiroo, Watanabe Takeo
    Jpn. J. Appl. Phys. 39, 6771-6776(12) 6771-6776 2000年  
    We have designed three-aspherical-mirror optics that meets the specifications for 0.1 μm generation lithography, and are developing an extreme ultraviolet lithography (EUVL) laboratory tool suitable for device fabrication experiments. It operates at a wavelength of 13.5 nm and employs a three-mirror imaging system with a numerical aperture of 0.1. It is capable of replicating 65 nm patterns in an exposure field of 30 mm× 1 mm size. First, single-layer chemically amplified resists are investigated using the synchrotron radiation (SR) source of New SUBARU. From the sensitivity curve, it was found that the positive-tone resist DP603 and the negative-tone resist SAL601 have high gamma values and high sensitivities to the extreme ultraviolet exposure wavelength. Furthermore, exposure experiments using the three-aspherical mirror imaging system were performed. A minimum line width of 56 nm was demonstrated in an exposure area of 10 mm× 1 mm. We confirmed that the three-aspherical mirror imaging system is useful for developing EUVL technology.
  • J. Photopolymer Sci. & Technol 13(3), 379-384 2000年  
  • S Irie, T Watanabe, H Kinoshita, A Miyafuji, K Sugisaki, T Oshino, K Murakami
    EMERGING LITHOGRAPHIC TECHNOLOGIES IV 3997 807-813 2000年  
    Extreme ultraviolet lithography (EUVL) is one of the candidates to fabricate a sub-0.1 mu m-pattern. We have developed an Engineering Test Stand (ETS-0) which consists of three aspherical mirrors imaging optics for EUVL. This optics meets the specification of sub-0.1 mu m generation. The key technology of EUVL is a development of reduction optics. The requirements of both figure error and surface roughness are less than 0.3 nm, and the wave-front error (WFE) of optical system has to be reached to be less than lambda/14 rms, where lambda is the exposure wavelength. Therefore, the high-precision fabrication and alignment techniques for the optics are required. We have developed the alignment procedure of three-aspherical-mirror optics to minimize the WFE, by the Fizeau-type interferometer using He-Ne laser (lambda = 632.8 nm) and by the ray trace program (CODE-V). Namely, we have found the effective mirror-adjustment-axis to realize the high-precision alignment. The effective axis is decided by the priority for the adjustment axis. The priority is lead by two methods. One method is decided by the contribution to the WFE reduction that was calculated by CODE-V. The other method is decided by the correlation between the amount of decentration (shift for X-axis or Y-axis direction), despacing (shift for Z-axis direction), tilt of each mirror and the F.Z.-coefficients. The mirror is adjusted in the order of the priority of mirror axis. As a result, the WFE of 3nm RMS has been achieved by using this alignment procedure in three-aspherical-mirror optics.
  • YQ Li, T Watanabe, H Kinoshita
    EMERGING LITHOGRAPHIC TECHNOLOGIES IV 3997 759-764 2000年  
    A design of four-mirror imaging optics is presented for the wavelength of 13.5 nm. The numerical aperture (NA) is 0.15. The reduction ratio is 5:1, The exposure area on wafer is 0.5mm(width) x 25mm(chord length). The designed resolution is 50 nm with MTF approximate to 60%. The distortion is less than 0.01% and the astigmatism is near zero at the designed ring field.
  • K Sugisaki, T Oshino, K Murakami, T Watanabe, H Kinoshita, A Miyafuji, S Irie, S Sirayone
    EMERGING LITHOGRAPHIC TECHNOLOGIES IV 3997 751-758 2000年  
    We have assembled and aligned projection optics for extreme ultraviolet (EUV) lithography. The projection optics consists of three aspherical mirrors. First, the positions of the mirrors were coarsely adjusted using the side and back surface of the mirrors. Next, the mirrors were finely aligned to minimize the wavefront errors which were measured by an interferometer. The adjustable axes were selected according to the results of the analysis of the allowable error range. The compensation values for each adjustable axis were calculated by commercially available ray-tracing software. After the alignment procedure, the wavefront error of 3 nm RMS was achieved.
  • Niibe Masahito, Watanabe Takeo, Nii Hajime, Tanaka Takeshi, Kinoshita Hiroo
    Japanese Journal of Applied Physics 39, 6815-6818(12) 6815-6818 2000年  
    We chose Cr and Ta metals as new absorbing materials for extreme ultraviolet lithography (EUVL) reflection masks. The transmittances of EUV light for these metals were calculated to be nearly equal to those for W metal at the wavelength of 13.5 nm. Reflection masks were successfully fabricated from Ta metal films by dry-etching and from Cr metal films by the lift-off technique. The mask contrast was measured using an EUV reflectometer system with a synchrotron radiation source. For the Cr absorber mask, measured peak reflectivities at the reflecting and the absorbing part of the processed patterns were 59% and 4.9%, respectively, and the contrast was about 12. The peak reflectivities at the reflecting and absorbing parts of the processed patterns for the Ta absorber mask were 50% and 0.48%, respectively, and the contrast was about 105. The masks, prepared about one year before the reflectivity measurements and stored in air, exhibited no significant degradation of reflectivity or surface quality. However, a 4% reduction in reflectivity was observed after two years'' storage in air, even though the top layer of the Mo/Si multilayer for the masks was Si, which has been reported to prevent oxidation.
  • J. Photopolymer Sci. & Technol 13(3), 379-384 2000年  
  • J. Vac. Sci. Technol. 18(6) 2905-2910 2000年  
  • T Watanabe, H Kinoshita, A Miyafuji, S Irie, S Shirayone, S Mori, E Yano, H Hada, K Ohmori, H Komano
    EMERGING LITHOGRAPHIC TECHNOLOGIES IV 3997 600-607 2000年  
    The single layer chemically amplified resists are investigated for the extreme ultra-violet lithography. From the results of the sensitivity curve, the positive-tone resist of DP603 and the negative-tone resist of SAL601 have high sensitivities and high gamma values to the EUV exposure wavelength. Furthermore, by the optimization of both the dosage and the wafer focusing position, we succeed in replicating 0.056-mu m-resist-pattem width on the exposure-field size of 10 mm x 1 mm on an I-inches-diameter wafer. We confirm the resolution capability of the three-aspherical mirror imaging system that has been developed by the Himeji Institute of Technology.
  • 木下 博雄, 渡邊 健夫, 新部 正人
    半導体・集積回路技術シンポジウム講演論文集 55 111-116 1998年12月3日  
  • K Murakami, T Oshino, H Kinoshita, T Watanabe, M Niibe, M Ito, H Oizumi, H Yamanashi
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 37(12B) 6750-6755 1998年12月  
    We have designed and started the fabrication of 3-mirror ring-field projection optics for extreme ultraviolet lithography (EUVL) and an experimental exposure system using the projection optics, which enable a large-field (30 mm x 20 mm), high-resolution (<100 nm) exposure. EUV exposure experiments will be performed using the EUVL beam line to be constructed at the new synchrotron ring called New Subaru, which is now under construction in Hyogo Prefecture. In this paper the details of the EUV exposure system will be described.
  • K Murakami, T Oshino, H Kinoshita, T Watanabe, M Niibe, M Ito, H Oizumi, H Yamanashi
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 37(12B) 6750-6755 1998年12月  
    We have designed and started the fabrication of 3-mirror ring-field projection optics for extreme ultraviolet lithography (EUVL) and an experimental exposure system using the projection optics, which enable a large-field (30 mm x 20 mm), high-resolution (<100 nm) exposure. EUV exposure experiments will be performed using the EUVL beam line to be constructed at the new synchrotron ring called New Subaru, which is now under construction in Hyogo Prefecture. In this paper the details of the EUV exposure system will be described.
  • T Watanabe, T Okada, H Kinoshita
    JOURNAL OF SYNCHROTRON RADIATION 5(3) 791-793 1998年5月  
    High-resolution X-ray microscopy requires advanced fabrication technology for Fresnel zone plates (FZPs). As the resolution of an FZP depends on the width of the outermost zone, fine zone patterns for objective lenses have to be replicated. On the other hand, to achieve highly condensed X-ray beams by using FZPs for condenser lenses, large-held replication is required. A method of pattern replication of FZPs for X-ray microscopy is reported. Utilizing a 30 keV electron-beam writing tool and an FZP-generation computer program, FZP patterns for a condenser lens 1 mm in diameter with an outermost-zone width of 0.2 mu m and for an objective lens 0.5 mm in diameter with an outermost-zone width of 0.1 mu m were replicated.
  • T Watanabe, T Haga, M Niibe, H Kinoshita
    JOURNAL OF SYNCHROTRON RADIATION 5(3) 1149-1152 1998年5月  
    The design of front-end collimating optics for extreme-ultraviolet lithography (EUVL) is reported. For EUVL, collimating optics consisting of a concave toroidal mirror and a convex toroidal mirror can achieve shorter optical path lengths than collimating optics consisting of two concave toroidal mirrors. Collimating optics consisting of a concave toroidal mirror and a convex toroidal mirror are discussed. The design of collimating optics for EUVL beamlines based on ray-tracing studies is described.

書籍等出版物

 27

講演・口頭発表等

 882

所属学協会

 11

Works(作品等)

 3

共同研究・競争的資金等の研究課題

 11

メディア報道

 46